מבנה מחשבים ספרתיים

גודל: px
התחל להופיע מהדף:

Download "מבנה מחשבים ספרתיים"

תמליל

1 זיכרון מטמון מבנה מחשבים ספרתיים

2 הבעיה מהירות הגישה לזיכרון איטית ביחס לביצועי המעבד )עד פי (, ככל שהזיכרון גדול יותר הגישה אליו איטית יותר. ביצועי המעבד נפגעים משמעותית אם בכל קריאה מהזיכרון יש להמתין )ולהשבית את המעבד( מספר רב של מחזורי שעון. הפתרון החזקת עותק חלקי של הזכרון יהיה קצר בהרבה. "קרוב" למעבד כך שזמן הגישה אליו 2

3 למה הפתרון הזה טוב? מקומיות בזמן אם ניגשנו לאובייקט מסויים, סביר להניח שניגש אליו שוב בקרוב. אנו מבלים 9% מהזמן ב- % מהקוד בעקר עקב לולאות בהן קוראים אותה שורה מספר פעמים. משתנים מסוימים מעודכנים פעם אחר פעם. לדוגמא מיון. גם מקומיות במקום אם ניגשנו לאובייקט מסויים, סביר להניח שניגש לאובייקטים סמוכים אליו. קטעי קוד, סביר מאד שנצטרך גם את הפקודה הבאה ואילו שאחריה. נתונים אם קראנו )או כתבנו( ממקום אחד במערך סביר להניח שנקרא )או נכתוב( את סביבתו. תזכורת לחוק אמדל עדיף לשפר את מה שקורה רוב הזמן! 3

4 פגיעה )Hit( החטאה הנתון מופיע ברמת הזכרון. )iss( טרמינולוגיה הנתון לא מופיע ברמת הזיכרון ולכן צריך להביאו מרמה נמוכה יותר. יחס פגיעה rate( )hit אחוז הפגיעות מתוך סה"כ הגישות לזכרון. iss rate = hit rate בלוק הזכרון המרכזי מחולק לבלוקים של מספר בתים. בכל פעם שנצטרך להעתיק בית למטמון נעתיק את כל הבלוק בו הוא נמצא. 4

5 Fully associative Organization מספר זיהוי( ולמיקום המידע. נחלק את שדה כתובת המידע למספר הבלוק ( בתוך הבלוק.)offset( 3 Tag = Block# ו- תוכן הבלוק. 4 Line Offset 2. המטמון יהיה בנוי כטבלה של מספר הבלוק Tag Array Tag array Line כל בלוק בזכרון יכול להכנס לכל שורה במטמון. 5

6 Fully associative Organization 3 Address Fields 4 Tag = Block# Line Offset Tag Array Tag = = Line array = 3 Hit\iss data 6

7 Fully associative Organization Block Block 2 כל בלוק בזכרון יכול להתמפות לכל שורה במטמון. Block m Tag Array Tag array Line : : Block n יתרון: Rate Hit גבוה. חסרון: מימוש ההשוואה המקבילית קשה ויקר. 7

8 מדיניות פינוי במטמון יהיו הרבה פחות שורות מאשר בלוקים בזכרון הראשי כאשר יש צורך להביא בלוק נוסף למטמון יהיה צורך לזרוק את אחד הבלוקים הקודמים. יש מספר אפשרויות לבחור מי יהיה הבלוק שייזרק: LRU - least recently used. הבלוק שזמן רב ביותר לא השתמשנו בו )לקריאה או לכתיבה(. LR - least recently modified.2 הבלוק שזמן רב ביותר לא כתבנו אליו. Random.3 בחירה אקראית לחלוטין. 8

9 Direct apping Organization. נחלק את שדה כתובת המידע למיקום המידע בתוך הבלוק )offset( ואת מספר הבלוק נחלק למיקום במטמון )set( ולמספר זיהוי.() Block number Tag Set Line Offset 2. המטמון יהיה בנוי כטבלה של מספר הבלוק ו- תוכן הבלוק. Tag Line Set# Tag Array Cache storage ההבדל ממבנה של fully associative cache הוא שהפעם לכל שורה במטמון יש מספר מזהה.set 9

10 Direct apping Organization 3. מציאת הנתון: א. נמצא את השורה המתאימה עפ"י ה- set כאינדקס במערך. ב. נשווה את ה- של הכתובת עם ה- של השורה במטמון אליה הצביע ה-.set במידה ויש התאמה הבלוק המבוקש נמצא במטמון. אחרת יש החטאה וצריך להביא את הבלוק. ג. במידה והנתון נמצא במטמון, ניגש ל- byte המתאים בשורה עפ"י שדה ה-.disp Block number Tag Set Line Offset Tag Line Set# 2 9 =52 sets Tag Array 3 Cache storage

11 Direct apping Organization כל שורה בזכרון יכולה להמצא בשורה אחת במטמון. Cache Size Cache Size block (set = ) block 2 (set = ) block 4 (set = ) block 6 (set = ).... Set# שימו לב שאין צורך במדיניות פינוי! Cache Size block 4n (set = ) block 4n+2 (set = ) יתרון: קל למימוש וזול חסרון: miss rate גבוה שנובע משיטת המיפוי המאפשרת מיפוי של מספר כתובות )בעלות סיביות set זהות( לאותה שורה במטמון.

12 2 way set associative Organization. באופן זהה ל- direct mapping נחלק את שדה כתובת המידע למיקום המידע בתוך הבלוק )offset( ואת מספר הבלוק נחלק למיקום במטמון )set( ולמספר זיהוי.() Block number Tag Set Line Offset 2. המטמון יהיה בנוי כשתי טבלאות של מספר הבלוק ו- תוכן הבלוק. WAY WAY Tag Line Tag Line Set# Tag Array Cache storage Tag Array Cache storage ההבדל ממבנה של כמו direct mapping,ways הוא שהפעם יש שני direct mapping כאשר הנתון יכול להמצא בכל אחד מהם. כל אחד בנוי 2

13 2 way set associative Organization 3. מציאת הנתון: א. נמצא את השורה המתאימה עפ"י ה- set כאינדקס במערך. ב. נשווה את ה- של הכתובת הדרושה עם ה- של השורה במטמון אליה הצביע ה- set בכל אחד מה-.ways במידה ויש התאמה הבלוק המבוקש נמצא במטמון. אחרת יש החטאה וצריך להביא את הבלוק. ג. במידה והנתון נמצא במטמון, ניגש ל- byte המתאים בשורה עפ"י שדה ה-.disp Tag Set Line Offset Way Way Tag Tag Set# Set# = = UX Out Hit/iss 3

14 2 way set associative Organization Cache Size (set = ) 2 (set = ) כל שורה בזכרון יכולה להמצא בשורה אחת במטמון באחד משני ה-.ways ע"מ לממש LRU מספיק ביט אחד לכל.set Cache Size 4 (set = ) 6 (set = ).... Set# WAY WAY Set# Cache Size 4n (set = ) 4n+2 (set = ) יתרון / חסרון: השיטה של k-ways מהווה פשרה סבירה, אופטימלית בביצועים. 4

15 K way set associative Organization 4 בתים נניח מטמון בעל קיבולת של 8 בלוקים )DATA( כ"א ואסוציאטיביות משתנה Set# way set associative (direct mapping) Set# WAY 2 way set associative WAY Tag Set Line Offset Tag Set Line Offset 5

16 K way set associative Organization (II) 4 way set associative Set# WAY WAY WAY 2 WAY Tag Set Line Offset WAY WAY 8 way set associative... WAY Tag Line Offset זהו בעצם fully associative mapping רק מצוייר לרוחב... 6

17 K way set associative Organization (III) data משתנה נניח מטמון בעל אסוציאטיביות של 4 ונפח WAY WAY WAY 2 WAY 3 בלוקים Tag Line Offset 8 בלוקים Set# WAY WAY WAY 2 WAY Tag Set Line Offset 7

18 K way set associative Organization (IV) 6 בלוקים Set# WAY WAY WAY 2 WAY Tag Set Line Offset 32 בלוקים Set# WAY WAY WAY 2 WAY Tag Set Line Offset 8

19 עדכון למטמון: Write Back נניח שיש צורך לעדכן נתון מסויים. במידה והנתון נמצא במטמון, כותבים את הערך החדש לבלוק המתאים במטמון. נותרת השאלה מתי נעדכן את הערך השמור בזיכרון הראשי. הדבר תלוי במדיניות הכתיבה. במדיניות WB,בזמן כתיבה נכתוב רק למטמון. העדכון לזיכרון יבוצע כאשר הנתון ייזרק מהמטמון. על מנת לממש מדיניות זאת לכל בלוק תשמר סיבית מיוחדת dirty שתהווה אינדיקציה האם הבלוק עודכן וטרם נכתב לרמת זכרון נמוכה יותר. כאשר נתבקש לפנות בלוק מסוים, נעדכן בלוק זה ברמת הזכרון הנמוכה יותר במידה וסיבית ה- dirty דולקת. בכל עדכון נצטרך לעדכן את כל הבלוק מכיוון שסיבית ה- ולא עבור byte מסויים. dirty מוחזקת עבור הבלוק כולו L כתיבה D D פינוי מקום לנתון אחר E D D הציור עבור מטמון associative(,fully 2 מילים בבלוק( בעל רמה אחת שפועלות במדיניות כתיבה.writeback 9

20 עדכון למטמון: Write Through מדיניות כתיבה נוספת היא מדיניות Write through בזמן כתיבה נכתוב את הערך החדש של הנתון גם למטמון וגם לזיכרון כאשר הנתון ייזרק מהמטמון, אין צורך לעדכן את הזיכרון. L פינוי מקום כתיבה לנתון אחר E הציור עבור מטמון associative(,fully 2 בתים בבלוק( בעל רמה אחת שפועל במדיניות כתיבה.write through שימו לב! בשיטת write through אין צורך בסיבית dirty )למה?( סיבית סטטוס נוספת שנמצאת עבור כל בלוק במטמון )בד"כ ללא קשר למדיניות( היא סיבית.Valid בלוק שנמצא במצב )Invalid( I הוא בלוק שבו המידע שנמצא בשורת ה- data אינו משקף את המידע שנמצא בבלוק בעל מתאים ברמות נמוכות יותר. 2

21 כתיבה למטמון Allocate: Write נניח שיש צורך לעדכן נתון מסויים שלא נמצא במטמון כתיבה. ישנן שתי אפשרויות עיקריות לטיפול במקרה זה:, מצב זה נקראת החטאת set Write allocate במקרה של החטאה שולחים לזיכרון בקשה להבאת הבלוק. טרם הבאת הבלוק מהרמה התחתונה מפנים את המקום המתאים ומדיניות החלפה(. )מבחינת L בקשת כתיבה, החטאה ופינוי מקום I I הבאת הבלוק וכתיבה D E הציור עבור מטמון associative(,fully 2 בתים בבלוק( ומדיניות write miss של.Write allocate בעל רמה אחת שפועל במדיניות כתיבה writeback 2

22 כתיבה למטמון: No Write Allocate מדיניות נוספת למצב של החטאת כתיבה היא No Write allocate במקרה של החטאה מורידים לזיכרון את בקשת הכתיבה עצמה. אין הבאה של הבלוק לרמה הנדרשת. L L2 בקשת כתיבה מרמה לרמה 2, פגיעה בקשת כתיבה לרמה, החטאה הציור עבור מטמון associative(,fully 2 בתים בבלוק( בעל שתי רמות שפועלות במדיניות כתיבה write through ומדיניות write miss של No Write allocate ברמה הראשונה. 22

23 סוגי החטאות Compulsory הבלוק לא היה מעולם בשימוש עד עכשיו, בהכרח הוא לא יימצא במטמו miss) (cold fully הבלוק כבר היה בשימוש אך היות והמטמון אינו Conflict associative בלוק אחר תפס את מקומו. - LRU policy and mapping affects conflict misses Capacity הבלוק כבר היה בשימוש אך היות ומאז נקראו יותר בלוקים ממה שהמטמון היה יכול להכיל, בלוק אחר תפס את מקומו. גם אם המטמון היה fully-associative הייתה נוצרת כאן החטאה. - Associativity can t help 23

24 דוגמא נתון מטמון 2-way set associative במדיניות פינוי. LRU הכתובת מחולקת באופן הבא, Tag Set offset למטמון הנתון נשלחה סדרת הכתובות הבאה )גישה לבתים(: Addresses: בהנחה שמדיניות הפינוי היא LRU והמטמון מלא ב- data של בלוקים שאינם מופיעים בסדרה דלעיל מצא: כמה החטאות יווצרו? מה מכיל המטמון בתום הסדרה )קרי אילו בלוקים בכל?)set כדי לפתור את השאלה נצטרך לחשב לאיזה set במטמון נכניס את הבלוק המבוקש מהזכרון הראשי. את שדה ה- set ניתן לחשב לפי Set = floor(address / line length) mod (lines per way) הסבר: חלוקת הכתובת ב- 4 )אורך השורה( תוריד את שדה ה-,offset וביצוע mod8 יתן את שלושת סיביות ה- LSB של מספר הבלוק שהן בעצם ה-.set 24

25 Way /LRU Hit/ miss set כתובת נימוק / עפ"י הנתון השורה לא נמצאת 5 / בפקודה הקודמת הבאנו שורה שמכילה גם את כתובת 7 )וגם את 4 ו- 6( H 7 2 / עפ"י הנתון השורה לא נמצאת 3 / הנתון הובא ב- H 4 4 / הנתון לא נמצא. היות וכבר הובא נתון בעל (set= ב- (, =.way 36 5 / עפ"י הנתון השורה לא נמצאת בפעם האחרונה בה קבלנו = set השורה הובאה ל- way ולכן עפ"י LRU צריך לפנות את.way / 3 7 אמנם השורה המכילה את כתובת 6 הובאה כבר ב- )2( אבל ב- )7( החלפנו אותה בשורה חדשה ולכן החטאה. בפעם האחרונה בה קבלנו = set השורה הובאה ל- way ולכן עפ"י LRU צריך לפנות את.way / 6 8 / ב- )8( שוב הבאנו את השורה של 4-7 H 4 9 / עפ"י הנתון השורה לא נמצאת 3 2 בפעם האחרונה בה קבלנו = set השורה הובאה ל- way ולכן עפ"י LRU צריך לפנות את.way / 36 / הנתון כבר הובא ב- )(. H בפעם האחרונה בה קבלנו = set השורה הובאה ל- way ולכן עפ"י LRU צריך לפנות את.way / אמנם השורה המכילה את כתובת 5 הובאה שוב ב- )8( אבל ב- )3( החלפנו אותה בשורה חדשה ולכן החטאה. בפעם האחרונה בה קבלנו = set השורה הובאה ל- way ולכן עפ"י LRU צריך לפנות את.way / 5 4 / ב- )4( שוב הבאנו את השורה של 4-7 H 7 5

26 Way /LRU Hit/ miss set כתובת נימוק / עפ"י הנתון השורה לא נמצאת 5 / בפקודה הקודמת הבאנו שורה שמכילה גם את כתובת 7 )וגם את 4 ו- 6( H 7 2 / עפ"י הנתון השורה לא נמצאת 3 / הנתון הובא ב- H 4 4 / הנתון לא נמצא. היות וכבר הובא נתון בעל (set= ב- (, =.way 36 5 / עפ"י הנתון השורה לא נמצאת בפעם האחרונה בה קבלנו = set השורה הובאה ל- way ולכן עפ"י LRU צריך לפנות את.way / 3 7 אמנם השורה המכילה את כתובת 6 הובאה כבר ב- )2( אבל ב- )7( החלפנו אותה בשורה חדשה ולכן החטאה. בפעם האחרונה בה קבלנו = set השורה הובאה ל- way ולכן עפ"י LRU צריך לפנות את.way / 6 8 / ב- )8( שוב הבאנו את השורה של 4-7 H 4 9 / עפ"י הנתון השורה לא נמצאת 3 2 בפעם האחרונה בה קבלנו = set השורה הובאה ל- way ולכן עפ"י LRU צריך לפנות את.way / 36 / הנתון כבר הובא ב- )(. H בפעם האחרונה בה קבלנו = set השורה הובאה ל- way ולכן עפ"י LRU צריך לפנות את.way / אמנם השורה המכילה את כתובת 5 הובאה שוב ב- )8( אבל ב- )3( החלפנו אותה בשורה חדשה ולכן החטאה. בפעם האחרונה בה קבלנו = set השורה הובאה ל- way ולכן עפ"י LRU צריך לפנות את.way / 5 4 / ב- )4( שוב הבאנו את השורה של 4-7 H 7 5

27 int array[24]; שאלה לפניך קטע הקוד הבא, המשמש לאתחול מערך: for ( int i= ; i<24 ; i++ ) array[i] = ; הנחות:. המשתנה i והמצביע array מאוחסנים ברגיסטרים. 2. משתנה מסוג int תופס 4 בתים בזיכרון,הוא aligned )מיושר( בזיכרון. המערך מיושר אף הוא יחסית לשורת מטמון )מתחיל בכתובת שהיא כפולה של ) 6 3. למערכת זיכרון מטמון נפרד לקוד, לנתונים וכן TLB 4. גודל מטמון הנתונים KB )עבור )data והוא מאורגן בשיטת 4, way-set-associative גודל כל בלוק 6 בתים, מדיניות כתיבה,write through מדיניות write-allocate ומדיניות פינוי.random 5. ניתן להניח כי לא מתבצעת החלפת תהליכים במהלך ריצת התוכנית. 6. גודל כתובת 32 ביט. - I, array in register - int is 4 bytes (aligned) - icache: - dcache: KB 4 way set associative 6B block - write through write allocate - Random - 32 Bit address 27

28 שאלה : size Cache directory א. כמה סיביות בסה"כ מכיל ה- directory cache של מטמון הנתונים? cache size 2 # blocks 2 4 block size 2 6 # blocks 2 4 # sets 2 sets 2 # ways 2 6 blocks WAY WAY 3 Tag Line Tag Line Tag Array Cache storage Tag Array Cache storage - 6 byte blocks : 4 bits, 2 4 sets : 4 bits = 32 8 = 24 bits ה- cache directory מכיל את 24 סיביות ה- וסיבית valid )אין סיבית modified כי המדיניות היא write-through ואין סיבית LRU כי מדיניות הפינוי היא.)Random מכיוון שמדובר ב- 4 way cache כשבכל way יש,sets 6 גודל ה- cache directory הוא: Cache Directory Size = ( 24 + ) * 4 * 6 = 6 Valid ways sets 28

29 int array[24]; for ( int i= ; i<24 ; i++ ) array[i] = ; שאלה misses: how many - 6 sets / 4 ways = KB ב. נתון כי בתחילת הרצת קטע הקוד מטמון הנתונים ריק. מהו מספר ה- cache misses המקסימאלי שאירעו במטמון הנתונים במהלך ריצת קטע הקוד? כל int תופס בזיכרון 4 בתים, לכן יש צורך לעבור על = 24*4 496 בתים באופן רציף. מספר ה- misses יהיה כמספר הבלוקים שתופס המערך במטמון. לכן ה- miss rate עבור הקוד הזה יהיה array size blocks 256 misses 4 block size 2 29

30 שאלה: how many misses ג. כיצד הייתה משתנה תשובתך לסעיף ב' אם משתנים מסוג integer לא היו מיושרים) ) aligned בזיכרון? אם המערך לא היה מיושר, אז הוא היה מוסט קדימה או אחורה במספר בתים, ואז מספר הבלוקים שהמערך תופס וההחטאות הוא 257=

31 )6( שאלה ב' ד. איזה עיקרון )בהקשר של זיכרון מטמון( בא לידי ביטוי בקטע הקוד?. עיקרון אי הוודאות. 2. עיקרון הלוקאליות במקום. 3. עיקרון הלוקאליות בזמן. 4. עיקרון הלוקאליות במקום ועיקרון הלוקאליות בזמן. 5. אף אחת מתשובות 4- עיקרון הלוקאליות במקום בא לידי ביטוי בקטע קוד זה, כיוון שהמערך יושב בזיכרון בצורה רציפה ולכן בכל פעם שניגשנו לבלוק מסוים חסכנו החטאה ל- int -ים באותו בלוק. עיקרון הלוקאליות בזמן לא בא לידי ביטוי מכיוון שניגשנו לכל נתון פעם אחת בלבד. What about i when it comes from the memory? - locality in time for i 3

32 שאלה ה.מהו מספר הבלוקים המקסימאלי שיועברו מהזיכרון הראשי למטמון הנתונים )עבור הרצה יחידה של קטע הקוד( אם נתון כעת, כי משתנה i יושב בכתובת פיזית x בזיכרון )ולא ברגיסטר( וכן מדיניות? no write allocate - Number of blocks copied from memory to cache? בביצוע לולאת for אנו ניגשים לכל אחד מהמשתנים במערך לצורך כתיבה בלבד. המשתנה היחידי שנקרא הוא i )בבדיקת תנאי היציאה(. המטמון עובד במדיניות NO-write allocate ולכן בכל בקשות הכתיבה נעדכן רק את הזיכרון הראשי, והבלוק היחיד שיטען למטמון יהיה של משתנה i. הכתובת x יושבת מיושרת בזיכרון ולכן נטען בלוק אחד למטמון. ו.איזה סוגי החטאות יש בדוגמת הקוד הנתונה? מכיוון שאנו ניגשים לכל נתון פעם אחת בדיוק, אנו מחטיאים רק כאשר אנו ניגשים לבלוק בפעם הראשונה. לכן יש בקוד רק שגיאות compulsory 32

33 שאלה ו. עבור השינויים הבאים במטמון, כיצד הם ישפיעו על ה- HR בקוד הנתון? שינוי מדיניות הפינוי למדיניות מתקדמת יותר. הכפלת גודל המטמון כך שמספר הבלוקים יוכפל 2. הכפלת גודל המטמון כך שגודל הבלוק יוכפל 3. אנו ניגשים לכל נתון פעם אחת. לכן שינוים ו- 2 לא ישפיעו על ביצועי התוכנית. כמו שמנו לב סעיף קודם, יש בקוד רק החטאות מסוג. compulsory הכפלת גודל הבלוק תגרום לכך שתהיה החטאה רק פעם ב- 8 גישות למערך ולכן תשפר את ה-. HR 33

34 שאלה ב' )7( : LRU ח. תאר )בקצרה( שיטה למימוש מדיניות פינוי LRU במטמון הנתונים. על המימוש להיות חסכוני בזיכרון ככל הניתן )גם על חשבון יעילותו(. כמה סיביות יש להוסיף למטמון עבור המימוש שהצעת. - Implement an LRU how many bits? נממש LRU ברמת ה- set ע"י שמירת רשימה ובה ארבעה צמתים )אחד לכל,)way השומרת את סדר עדכון ה-.ways בכל גישה ל- way נעדכן את הסדר ברשימה כך שה- way בו השתמשנו לאחרונה יהיה האחרון ברשימה. )ways כדי לשמור את מספור ה- way נשתמש בשתי סיביות עבור כל way בסה"כ נוסיף למטמון 8=4*2 סיביות לכל.set )כי יש ארבעה ולכן Initial state: Hit way : Hit way 2: Hit way 3: RU Way LRU Way 34

35 שאלה ב' LRU : )7( ניתן לשפר את השיטה הקודמת באופן הבא: נשמור ברשימה רק שלושה צמתים: נשמיט את הצומת הממופה ל- way בו השתמשנו לאחרונה. בכל גישה ל- way הנמצא ברשימה, נוסיף לרשימה את ה way שהושמט ונוציא מהרשימה את ה- way אליו ניגשנו )הוא כרגע ה way בו השתמשנו לאחרונה(. בדרך זאת נוסיף למטמון 6=3*2 סיביות לכל.set Initial state: Hit way : RU Way LRU Way Hit way 2: Hit way 3: השיטה האופטימאלית )מבחינת חסכון במקום(: בכל רגע יש לנו תור ובו ארבעה.ways מספר האפשרויות בו יכול התור להימצא הוא = 24!4 מספיקות 5 סיביות )לכל )set כדי לקודד את כל המצבים. 35

36 II שאלה המטמון ה- 2 way הבא שובץ במחשב בו מרחב הזיכרון הפיסי מחולק לארבעה סגמנטים זרים שווים בגודלם ( B 256 כל אחד(, A B A B לפי סדר זה. למתכנן המטמון הוצבה דרישה לפיה לא ייוצר מצב שיותר מ- 5% מהמטמון יכיל כתובות של סגמנטי A או סגמנטי B ועם זאת תישמר שיטת.2way הצע דרך פשוטה ויעילה למימוש דרישה חדשה זו מה- cache והסבר את פעולתה Tag Set offset 36

37 שאלה 2. נשים לב כי ה- SB של כל סגמנט מוגדר באופן הבא:???.. A???.. B???.. A???.. B ספרת ה- 2 nd SB עבור סגמנטי A היא תמיד, ואילו עבור סגמנטי B היא תמיד נדאג למפות את המטמון כך שארבע השורות העליונות ימופו תמיד אך ורק לכתובות מסגמנטי A, ואילו ארבע בתחתונות לכתובות מסגמנטי B ע, "י שימוש בביט הנ"ל שנקרא לו ביט הסגמנט. תוצאה זאת נשיג באמצעות שינוי פונקציית המיפוי )שדה ה- )set במקום שיכיל את 3 סיביות LSB של מספר הבלוק,)mod8( נשתמש ב- שתי סיביות LSB בלבד ובסיסית הסגמנט. TTTTT TTTTT TTTTT TTTTT TTTTT SSS DD TSTTT TTTTT TTTTT TTTTT TTTTT TSS DD מיפוי ישן: מיפוי חדש: 37

38 )5( שאלה II Way LRU Way #set Physical memory divided into 4 segments: A B A B (256B each 28 bit address) - A... (3 bit address) B. A. B. 5% of the cache for segments A 5% of the cache for segments B Solution: Change the mapping function so that the sets [:3] will contains data for segments A, and [4:7], data for segment B Original mapping: Tag Set offset New mapping: Set[2] Tag Set[:] offset 38

מבנה מחשבים ספרתיים

מבנה מחשבים ספרתיים זיכרון מטמון 2 מבנה מחשבים ספרתיים 234267 1 כיצד נבחר את גודל המטמון? מצד אחד, נרצה מטמון גדול כמה שיותר כדי לקבל שיפור ב-.hit rate מצד שני, כאשר המטמון גדול מדי, הוא צורך אנרגיה רבה וזמן הגישה עלול להיות

קרא עוד

הטכניון מכון טכנולוגי לישראל הפקולטה למדעי המחשב הוראות הגשה: ההגשה בזוגות. הוסיפו שמות, ת.ז., אי-מייל, תא אליו יש להחזיר את התרגיל ואת תשובותיכם לתרג

הטכניון מכון טכנולוגי לישראל הפקולטה למדעי המחשב הוראות הגשה: ההגשה בזוגות. הוסיפו שמות, ת.ז., אי-מייל, תא אליו יש להחזיר את התרגיל ואת תשובותיכם לתרג הטכניון מכון טכנולוגי לישראל הפקולטה למדעי המחשב הוראות הגשה: ההגשה בזוגות. הוסיפו שמות, ת.ז., אי-מייל, תא אליו יש להחזיר את התרגיל ואת תשובותיכם לתרגיל, הדפיסו והגישו לתא הקורס בקומה. מבנה מחשבים ספרתיים

קרא עוד

Disclaimer מסמך זה הינו סיכום און-ליין של השיעור ולא עבר עריכה כלל. מצאת טעות? שלח/י לי מייל ואתקן: 07/05/2009 קורס: מערכות ה

Disclaimer מסמך זה הינו סיכום און-ליין של השיעור ולא עבר עריכה כלל. מצאת טעות? שלח/י לי מייל ואתקן: 07/05/2009 קורס: מערכות ה הרעיון: דפדוף paging וזכרון וירטואלי.1.2.3 לחלק את מרחב הכתובות לדפים בגודל קבוע )למשל )4KB את הדפים ממפים לזכרון פיסי a. לא רציף b. לא כולם העברה מזכרון לדיסק לפי הצורך מספר הדף: page = addr 4K המיקום

קרא עוד

PowerPoint Presentation

PowerPoint Presentation מבוא למדעי המחשב תירגול 6: כתובות ומצביעים 1 תוכנייה מצביעים מצביעים ומערכים, אריתמטיקה של מצביעים 2 3 מצביעים תזכורת- כתובות זיכרון הזיכרון כתובת התא #1000 #1004 #1008 ערך השמור בתא תא 10-4 לא מאותחל

קרא עוד

שבוע 4 סינטקס של HACK ASSEMBLY ניתן להשתמש בשלושה אוגרים בלבד:,A,D,M כולם בעלי 16 ביטים. M אינו אוגר ישיר- הוא מסמן את האוגר של ה RAM שאנחנו מצביעים ע

שבוע 4 סינטקס של HACK ASSEMBLY ניתן להשתמש בשלושה אוגרים בלבד:,A,D,M כולם בעלי 16 ביטים. M אינו אוגר ישיר- הוא מסמן את האוגר של ה RAM שאנחנו מצביעים ע שבוע 4 סינטקס של HACK ASSEMBLY ניתן להשתמש בשלושה אוגרים בלבד:,A,D,M כולם בעלי 16 ביטים. M אינו אוגר ישיר- הוא מסמן את האוגר של ה RAM שאנחנו מצביעים עליו כרגע )A מצביע עליו(. יש שני סוגי פקודות, פקודת

קרא עוד

סדנת תכנות ב C/C++

סדנת תכנות ב   C/C++ פקולטה: מדעי הטבע מחלקה: מדעי המחשב שם הקורס: מבוא למחשבים ושפת C קוד הקורס: 2-7028510 תאריך בחינה: 15.2.2017 משך הבחינה: שעתיים שם המרצה: ד"ר אופיר פלא חומר עזר: פתוח שימוש במחשבון: לא הוראות כלליות:

קרא עוד

מבוא לאסמבלי

מבוא לאסמבלי 1 ברק גונן תוכנית שבנויה מחלקי קוד נפרדים המשולבים זה בזה מאפיינים: נקודת כניסה אחת נקודת יציאה אחת מבצעים פעולה מוגדרת נקראים פרוצדורות ברק גונן 2 קוד קצר יותר לא צריך לחזור על חלקי קוד שאלה למחשבה: האם

קרא עוד

תוכן העניינים

תוכן העניינים הוצאת חושבים קדימה הילה קדמן חלק ב יעוץ מקצועי: חיים אברבוך מותאם לתכנית הלימודים החדשה בבתי הספר התיכוניים מהדורה חמישית הוצאת חושבים קדימה ת.ד. 1293 רעות 71908 www.kadman.net הילה קדמן 0522 525527 kadman11@gmail.com

קרא עוד

Homework Dry 3

Homework Dry 3 Homework Dry 3 Due date: Sunday, 9/06/2013 12:30 noon Teaching assistant in charge: Anastasia Braginsky Important: this semester the Q&A for the exercise will take place at a public forum only. To register

קרא עוד

פקולטה: מחלקה: שם הקורס: קוד הקורס: מדעי הטבע מדעי המחשב ומתמטיקה מתמטיקה בדידה תאריך בחינה: _ 07/07/2015 משך הבחינה: 3 שעות סמ' _ב' מועד

פקולטה: מחלקה: שם הקורס: קוד הקורס: מדעי הטבע מדעי המחשב ומתמטיקה מתמטיקה בדידה תאריך בחינה: _ 07/07/2015 משך הבחינה: 3 שעות סמ' _ב' מועד פקולטה: מחלקה: שם הקורס: קוד הקורס: מדעי הטבע מדעי המחשב ומתמטיקה מתמטיקה בדידה 2-7012610-3 תאריך בחינה: _ 07/07/2015 משך הבחינה: 3 שעות סמ' _ב' מועד ב' שם המרצה: ערן עמרי, ענת פסקין-צ'רניאבסקי חומר עזר:

קרא עוד

תוכן העניינים: פרק צמצומים ומימושים של פונקציות בוליאניות... 2 צמצומים של פונקציות באמצעות מפת קרנו:...2 שאלות:... 2 תשובות סופיות:... 4 צמצום

תוכן העניינים: פרק צמצומים ומימושים של פונקציות בוליאניות... 2 צמצומים של פונקציות באמצעות מפת קרנו:...2 שאלות:... 2 תשובות סופיות:... 4 צמצום תוכן העניינים: פרק 2 3 צמצומים ומימושים של פונקציות בוליאניות 2 צמצומים של פונקציות באמצעות מפת קרנו: 2 שאלות: 2 תשובות סופיות: 4 צמצום באמצעות שיטת 6:QM שאלות: 6 תשובות סופיות: 7 מימושים בעזרת פונקציות

קרא עוד

הגנה - שקפי תרגול

הגנה - שקפי תרגול תרגול 9 סיסמאות חד פעמיות הגנה במערכות מתוכנתות )הגנה ברשתות( חורף תשע"ז 1 תזכורת בקרת כניסה אימות זהות המשתמש למניעת התחזות קבלת שירות שהתוקף אינו זכאי לו קבלת גישה למידע פרטי ולביצוע פעולות בד"כ נעשה

קרא עוד

מהוא לתכנות ב- JAVA מעבדה 3

מהוא לתכנות ב- JAVA מעבדה 3 מבוא לתכנות ב- JAVA מעבדה 3 נושאי התרגול לולאות ניפוי שגיאות לולאות - הקדמה כיצד הייתם כותבים תוכנית שתדפיס את המספרים השלמים בין 1 ל- 100 בעזרת הכלים שלמדתם עד עתה? חייבת להיות דרך אחרת מאשר לכתוב 100

קרא עוד

שעור 6

שעור 6 שעור 6 Open addressing אין רשימות מקושרות. (נניח שהאלמנטים מאוחסנים בטבלה עצמה, לחילופין קיים מצביע בהכנסה המתאימה לאלמנט אם אין שרשור). ב- addressing open הטבלה עלולה להימלא ב- factor α load תמיד. במקום

קרא עוד

Slide 1

Slide 1 1 אובייקטים היום בתרגול: 2.)objects מחלקות )classes( ואובייקטים )מופעים, )fields( שדות המחלקה שיטות הכמסה )methods של מחלקה. ( class מחלקה - עד עכשיו השתמשנו בעיקר בטיפוסים מובנים ופונקציות המבצעות חישובים

קרא עוד

תרגול מס' 1

תרגול מס' 1 תרגול 6 הסתעפויות 1 מבוסס על שקפים מאת יאן ציטרין קפיצות לא מותנות Unconditional Branch br label PC לאחר ה- fetch של פקודת ה- branch PC לאחר הביצוע של פקודת ה- branch pc label br label הקפיצה מתבצעת תמיד,

קרא עוד

PowerPoint Presentation

PowerPoint Presentation תוכנה 1 תרגול 1: סביבת העבודה ומבוא ל- Java אלכסיי זגלסקי ויעל אמסטרדמר 1 בירוקרטיה אלכסיי זגלסקי שעת קבלה: שני 13:00-14:00, בתיאום מראש משרד: בניין הנדסת תוכנה, חדר 209 יעל אמסטרדמר שעת קבלה: חמישי 15:00-16:00,

קרא עוד

מבחן סוף סמסטר מועד ב 28/10/08 מרצה אחראית: דר שירלי הלוי גינסברג מתרגלים: גלעד קותיאל, גדי אלכסנדרוביץ הוראות: א. בטופס המבחן 6 עמודים (כולל דף זה) ו

מבחן סוף סמסטר מועד ב 28/10/08 מרצה אחראית: דר שירלי הלוי גינסברג מתרגלים: גלעד קותיאל, גדי אלכסנדרוביץ הוראות: א. בטופס המבחן 6 עמודים (כולל דף זה) ו מבחן סוף סמסטר מועד ב 28/10/08 מרצה אחראית: דר שירלי הלוי גינסברג מתרגלים: גלעד קותיאל, גדי אלכסנדרוביץ הוראות: א. בטופס המבחן 6 עמודים (כולל דף זה) ו 4 דפי נוסחאות. בדקו שכל העמודים ברשותכם. ב. משך המבחן

קרא עוד

מבוא למדעי המחשב

מבוא למדעי המחשב מבוא כללי לתכנות ולמדעי המחשב 1843-0310 מרצה: אמיר רובינשטיין מתרגל: דין שמואל אוניברסיטת תל אביב סמסטר חורף 2017-8 חלק א - השיטה הבינארית שיעור 5 ו- 1? ספירה בבסיס 2 ואיך אומרים "hello" עם 0 1 ממעגלים

קרא עוד

אוניברסיטת בן גוריון בנגב תאריך המבחן: שם המרצה: מר אלכסנדר שקולניק, בשפת JAVA מבחן ב: מבוא לתכנות מס' הקורס : מיועד לתלמידי : הנד

אוניברסיטת בן גוריון בנגב תאריך המבחן: שם המרצה: מר אלכסנדר שקולניק, בשפת JAVA מבחן ב: מבוא לתכנות מס' הקורס : מיועד לתלמידי : הנד אוניברסיטת בן גוריון בנגב תאריך המבחן: 29.01.19 שם המרצה: מר אלכסנדר שקולניק, בשפת JAVA מבחן ב: מבוא לתכנות 202.1.9031 מס' הקורס : מיועד לתלמידי : הנדסת תעשיה וניהול שנה תשע"ט א' סמ' א' מועד 3 שעות משך

קרא עוד

תאריך פרסום: תאריך הגשה: מבנה נתונים תרגיל 5 )תיאורטי( מרצה ומתרגל אחראים: צחי רוזן, דינה סבטליצקי נהלי הגשת עבודה: -את העבודה יש לה

תאריך פרסום: תאריך הגשה: מבנה נתונים תרגיל 5 )תיאורטי( מרצה ומתרגל אחראים: צחי רוזן, דינה סבטליצקי נהלי הגשת עבודה: -את העבודה יש לה תאריך פרסום: 01.01.15 תאריך הגשה: 15.01.15 מבנה נתונים תרגיל 5 )תיאורטי( מרצה ומתרגל אחראים: צחי רוזן, דינה סבטליצקי נהלי הגשת עבודה: -את העבודה יש להגיש בזוגות. -העבודה חייבת להיות מוקלדת. -הקובץ חייב

קרא עוד

תוכן העניינים

תוכן העניינים הוצאת חושבים קדימה הילה קדמן # חלק ב יעוץ מקצועי: חיים אברבוך מותאם לתכנית הלימודים החדשה בבתי הספר התיכוניים מהדורה חמישית הוצאת חושבים קדימה ת.ד. 1293 רעות 71908 www.kadman.net הילה קדמן 0522 525527

קרא עוד

Microsoft Word - tutorial Dynamic Programming _Jun_-05.doc

Microsoft Word - tutorial Dynamic Programming _Jun_-05.doc הטכניון מכון טכנולוגי לישראל אלגוריתמים (3447) סמסטר חורף 006/007 הפקולטה למדעי המחשב תכנון דינאמי תרגיל תת מחרוזת משותפת ארוכה ביותר תת-מחרוזת z k שקיימת סדרה עולה ממש,... z = z של מחרוזת נתונה x m,...,,

קרא עוד

מבוא למדעי המחשב

מבוא למדעי המחשב מבוא למדעי המחשב תכנות מונחה אובייקטים תוכן עניינים טיפוסי נתונים מורכבים המחלקה String תזכורת לשימוש שעשינו במחלקה String str = new String( Hello ); s.o.p(str.tostring()); s.o.p(str.charat(4)); s.o.p(str.equals(

קרא עוד

Intel Paging & Page Table Exploitation on Windows מאת יובל עטיה הקדמה בשנת 1985, המעבד i386 של Intel יצא לעולם, והיה המעבד הראשון של Intel שתמך בפקודו

Intel Paging & Page Table Exploitation on Windows מאת יובל עטיה הקדמה בשנת 1985, המעבד i386 של Intel יצא לעולם, והיה המעבד הראשון של Intel שתמך בפקודו מאת יובל עטיה הקדמה בשנת 1985, המעבד i386 של Intel יצא לעולם, והיה המעבד הראשון של Intel שתמך בפקודות ובמרחב זיכרון של 32 -ביט. כמו כן, הוא גם היה המעבד הראשון של Intel שהכיל יחידה לניהול זיכרון ( - MMU

קרא עוד

Microsoft Word B

Microsoft Word B מרצה: שולי וינטנר. מתרגל: שלמה יונה מבוא למדעי המחשב מועד ב', סמסטר א' תשס"ג, 17/2/03 משך המבחן: שעתיים וחצי. חומר עזר: מותר כל חומר עזר, מלבד מחשב. הנחיות: 1. ודאו כי בטופס שבידיכם 8 עמודים. יש לכתוב

קרא עוד

שאלהIgal : מערכים דו מימדיים רקורסיה:

שאלהIgal : מערכים דו מימדיים רקורסיה: אוניברסיטת בן גוריון בנגב מספר נבחן : תאריך המבחן: כ"ג חשון תשע"ח 12/11/17 שמות המורים: ציון סיקסיק א' ב- C תכנות מבחן ב: 202-1-9011 מס' הקורס : הנדסה מיועד לתלמידי : ב' מועד קיץ סמ' שנה תשע"ז 3 שעות משך

קרא עוד

מספר נבחן / תשס"ג סמסטר א' מועד א' תאריך: שעה: 13:00 משך הבחינה: 2.5 שעות בחינה בקורס: מבחנים והערכה א' מרצה: ד"ר אבי אללוף חומר עזר

מספר נבחן / תשסג סמסטר א' מועד א' תאריך: שעה: 13:00 משך הבחינה: 2.5 שעות בחינה בקורס: מבחנים והערכה א' מרצה: דר אבי אללוף חומר עזר מספר נבחן 2002 2003 / תשס"ג סמסטר א' מועד א' תאריך: 29.1.03 שעה: 13:00 משך הבחינה: 2.5 שעות בחינה בקורס: מבחנים והערכה א' מרצה: ד"ר אבי אללוף חומר עזר: אין שימוש במחשבון: מותר בבחינה 10 עמודים כולל עמוד

קרא עוד

תכנות מונחה עצמים א' – תש"ע

תכנות מונחה עצמים א' –  תשע 1 תכנות מונחה עצמים והנדסת תוכנה תשע"ו 2 בנאי העתקה בניית העתק של אובייקט קיים. בניית העתק בעת העברת אובייקט לפונקציה. בניית העתק בעת החזרת אובייקט מפונקציה. ניתן להגדיר בנאי העתקה. אם לא מגדירים, אז הקומפיילר

קרא עוד

מבוא למדעי המחשב

מבוא למדעי המחשב מבוא למדעי המחשב מחרוזות, חתימה של פונקציה ומעטפות תוכן עניינים טיפוסים מורכבים טיפוסים מורכבים ערך שם טיפוס 12 m int undef. x boolean true y boolean arr int[] כאלה שעשויים להכיל יותר מערך פרימיטיבי אחד

קרא עוד

שאלהIgal : מערכים דו מימדיים רקורסיה:

שאלהIgal : מערכים דו מימדיים רקורסיה: אוניברסיטת בן גוריון בנגב מספר נבחן : תאריך המבחן: כ"ג מנ' אב תשע"ז 15.08.17 שמות המורים: ציון סיקסיק א' ב- C תכנות מבחן ב: 202-1-9011 מס' הקורס : הנדסה מיועד לתלמידי : ב' מועד סמ' ב' שנה תשע"ז 3 שעות

קרא עוד

יצוא לחשבשבת תוכן עיניינים הגדרות - חשבונות בנק...2 הגדרות - הגדרות חשבשבת... 3 הגדרות - כרטיסי אשראי... 4 הגדרות - סוגי הכנסה... 5 יצוא לחשבשבת...6 י

יצוא לחשבשבת תוכן עיניינים הגדרות - חשבונות בנק...2 הגדרות - הגדרות חשבשבת... 3 הגדרות - כרטיסי אשראי... 4 הגדרות - סוגי הכנסה... 5 יצוא לחשבשבת...6 י יצוא לחשבשבת תוכן עיניינים הגדרות - חשבונות בנק...2 הגדרות - הגדרות חשבשבת... 3 הגדרות - כרטיסי אשראי... 4 הגדרות - סוגי הכנסה... 5 יצוא לחשבשבת...6 יצוא קופה לחשבשבת חלונות...01 כללי מדריך זה מסביר את

קרא עוד

מבחן סוף סמסטר מועד א 15/02/08 מרצה אחראית: דר שירלי הלוי גינסברג מתרגלים: גלעד קותיאל, דניאל גנקין הוראות: א. בטופס המבחן 7 עמודים ו 4 דפי נוסחאות. ב

מבחן סוף סמסטר מועד א 15/02/08 מרצה אחראית: דר שירלי הלוי גינסברג מתרגלים: גלעד קותיאל, דניאל גנקין הוראות: א. בטופס המבחן 7 עמודים ו 4 דפי נוסחאות. ב מבחן סוף סמסטר מועד א 15/02/08 מרצה אחראית: דר שירלי הלוי גינסברג מתרגלים: גלעד קותיאל, דניאל גנקין הוראות: א. בטופס המבחן 7 עמודים ו 4 דפי נוסחאות. בדקו שכל העמודים ברשותכם. ב. משך המבחן שלוש שעות (180

קרא עוד

אוניברסיטת חיפה החוג למדעי המחשב מרצה: שולי וינטנר מתרגלים: נעמה טוויטו, מחמוד שריף מבוא למדעי המחשב סמסטר א' תשע"ב בחינת סיום, מועד א', הנחי

אוניברסיטת חיפה החוג למדעי המחשב מרצה: שולי וינטנר מתרגלים: נעמה טוויטו, מחמוד שריף מבוא למדעי המחשב סמסטר א' תשעב בחינת סיום, מועד א', הנחי אוניברסיטת חיפה החוג למדעי המחשב מרצה: שולי וינטנר מתרגלים: נעמה טוויטו, מחמוד שריף מבוא למדעי המחשב סמסטר א' תשע"ב בחינת סיום, מועד א', 6.2.2012 הנחיות: 1. משך הבחינה: 120 דקות. 2. היציאה מהכיתה במהלך

קרא עוד

אוניברסיטת חיפה החוג למדעי המחשב מבוא למדעי המחשב מועד א' סמסטר ב', תשע"ג, משך המבחן: שעתיים וחצי חומר עזר: אסור הנחיות: וודאו כי יש בידיכם

אוניברסיטת חיפה החוג למדעי המחשב מבוא למדעי המחשב מועד א' סמסטר ב', תשעג, משך המבחן: שעתיים וחצי חומר עזר: אסור הנחיות: וודאו כי יש בידיכם אוניברסיטת חיפה החוג למדעי המחשב מבוא למדעי המחשב מועד א' סמסטר ב', תשע"ג,.6.013 משך המבחן: שעתיים וחצי חומר עזר: אסור הנחיות: וודאו כי יש בידיכם 8 עמודי שאלון )כולל עמוד זה(. עליכם לכתוב את התשובות על

קרא עוד

BIG DATA תיאור הקורס המונח Big Data הולך וצובר תאוצה בשנים האחרונות, הוא הופך למגמה רווחת בתעשייה. המשמעות הפרקטית של המונח Big Data הינה טכנולוגיות נ

BIG DATA תיאור הקורס המונח Big Data הולך וצובר תאוצה בשנים האחרונות, הוא הופך למגמה רווחת בתעשייה. המשמעות הפרקטית של המונח Big Data הינה טכנולוגיות נ BIG DATA תיאור הקורס המונח Big Data הולך וצובר תאוצה בשנים האחרונות, הוא הופך למגמה רווחת בתעשייה. המשמעות הפרקטית של המונח Big Data הינה טכנולוגיות ניתוח וניהול מאגרי מידע בעלי נתונים שאינם מאורגנים,

קרא עוד

מספר זהות: סמסטר ב' מועד א' תאריך: 11102/4// שעה: 9:22 משך הבחינה: 3 שעות חומר עזר: אין מותר השימוש במחשבון פשוט בחינה בקורס: מבני נתונים מרצה: הדר בי

מספר זהות: סמסטר ב' מועד א' תאריך: 11102/4// שעה: 9:22 משך הבחינה: 3 שעות חומר עזר: אין מותר השימוש במחשבון פשוט בחינה בקורס: מבני נתונים מרצה: הדר בי מספר זהות: סמסטר ב' מועד א' תאריך: 11102/4// שעה: 9:22 משך הבחינה: 3 שעות חומר עזר: אין מותר השימוש במחשבון פשוט בחינה בקורס: מבני נתונים מרצה: הדר בינסקי הנחיות: יש לענות על כל השאלות. יש לענות על כל

קרא עוד

אוניברסיטת חיפה החוג למדעי המחשב מרצה: שולי וינטנר מתרגלים: נעמה טוויטו, מחמוד שריף מבוא למדעי המחשב סמסטר א' תשע"ב בחינת סיום, מועד א', הנחי

אוניברסיטת חיפה החוג למדעי המחשב מרצה: שולי וינטנר מתרגלים: נעמה טוויטו, מחמוד שריף מבוא למדעי המחשב סמסטר א' תשעב בחינת סיום, מועד א', הנחי אוניברסיטת חיפה החוג למדעי המחשב מרצה: שולי וינטנר מתרגלים: נעמה טוויטו, מחמוד שריף מבוא למדעי המחשב סמסטר א' תשע"ב בחינת סיום, מועד א', 6.2.2012 הנחיות: 1. משך הבחינה: 120 דקות. 2. היציאה מהכיתה במהלך

קרא עוד

מבוא למדעי המחשב

מבוא למדעי המחשב מבוא למדעי המחשב המחלקה Object תוכן עניינים Object הורשה והמחלקה ערך שם טיפוס DynamicIntArray da data size incrementsize DynamicArray תזכורת - Object[] data; int size; int incrementsize; DynamicArray זה

קרא עוד

תרגיל בית מספר 1#

תרגיל בית מספר 1# ב 4 תרגיל בית מספר - 1 להגשה עד 72 באוקטובר בשעה ::725 קיראו בעיון את הנחיות העבודה וההגשה המופיעות באתר הקורס, תחת התיקייה.assignments חריגה מההנחיות תגרור ירידת ציון / פסילת התרגיל. הנחיות והערות ספציפיות

קרא עוד

מבוא למדעי המחשב

מבוא למדעי המחשב מבוא כללי לתכנות ולמדעי המחשב 1843-0310 מרצה: אמיר רובינשטיין מתרגל: דין שמואל אוניברסיטת תל אביב סמסטר חורף 2017-8 חלק ב - מבוא לקריפטוגרפיה שיעור 5 (offset מונחים בסיסיים צופן קיסר (היסט,.1.2 1 Today

קרא עוד

פייתון

פייתון שיעור 12: מילונים ברק גונן 1 או מילון, :hash table או,dictionary זוגות של מפתחות keys וערכים values מילון מוגדר על ידי סוגריים מסולסלים { } לדוגמה: מילון שמכיל ציונים, המפתח הוא מספר ת.ז ערך מפתח הגדרה

קרא עוד

מבוא למדעי המחשב

מבוא למדעי המחשב מבוא למדעי המחשב שימוש במחסנית - מחשבון תוכן עניינים prefix כתיבת ביטויים ב-,infix ו- postfix postfix prefix,infix ביטויים ב- כתיבת ו- infix נוסח כתיבה ב- (operator אנו רגילים לכתוב ביטויים חשבוניים כדוגמת

קרא עוד

הגשה תוך שבוע בשעת התרגול

הגשה תוך שבוע בשעת התרגול מרצה: שולי וינטנר. מתרגל: שלמה יונה מבוא למדעי המחשב מועד ב', סמסטר א' תשס"ג, 17/2/03 משך המבחן: שעתיים וחצי. חומר עזר: מותר כל חומר עזר, מלבד מחשב. הנחיות: ודאו כי בטופס שבידיכם 8 עמודים. יש לכתוב את

קרא עוד

Microsoft PowerPoint - Lecture1

Microsoft PowerPoint - Lecture1 Computer Organization and Programming ארגון ותכנו ת המחשב - את"מ הרצאה מבוא 2 שפה עילית מול שפ ת מ כונה שפה עילית language) (High level שפת מכונה Language) (Machine תכנית בשפ ה עיל ית (C, Pascal, ) תכנית

קרא עוד

מספר מחברת: עמוד 1 מתוך 11 ת"ז: תשע"א מועד ב סמסטר א' תאריך: 00:11 שעה: 0 שעות הבחינה: משך כל חומר עזר אסור בשימוש בחינה בקורס: מבוא למדעי ה

מספר מחברת: עמוד 1 מתוך 11 תז: תשעא מועד ב סמסטר א' תאריך: 00:11 שעה: 0 שעות הבחינה: משך כל חומר עזר אסור בשימוש בחינה בקורס: מבוא למדעי ה עמוד 1 מתוך 11 תשע"א מועד ב סמסטר א' 14.2.2011 תאריך: 00:11 שעה: 0 שעות הבחינה: משך כל חומר עזר אסור בשימוש בחינה בקורס: מבוא למדעי המחשב יש לענות על כל 5 השאלות. בכל השאלות במבחן יש לכתוב פונקציות יעילות

קרא עוד

Slide 1

Slide 1 מבוא למדעי המחשב תירגול 7: פונקציות 1 מה היה שבוע שעבר? לולאות מערכים מערכים דו-ממדיים 2 תוכנייה )call by value( פונקציות העברת פרמטרים ע"י ערך תחום הגדרה של משתנה מחסנית הקריאות 3 פונקציות 4 הגדרה של

קרא עוד

מבנים בדידים וקומבינטוריקה סמסטר אביב תשע"ט מספרי רמזי תרגול 11 הגדרה: (t R = R(s, הוא המספר הטבעי הקטן ביותר כך שבכל צביעה של צלעות הגרף וכחול(, קיים

מבנים בדידים וקומבינטוריקה סמסטר אביב תשעט מספרי רמזי תרגול 11 הגדרה: (t R = R(s, הוא המספר הטבעי הקטן ביותר כך שבכל צביעה של צלעות הגרף וכחול(, קיים מספרי רמזי תרגול 11 הגדרה: (t R = R(s הוא המספר הטבעי הקטן ביותר כך שבכל צביעה של צלעות הגרף וכחול( קיים תת-גרף שלם K s שצבוע בכחול או שקיים תת-גרף שלם K t שצבוע באדום. הגדרה שקולה: עבור גרף עם לפחות (t

קרא עוד

הוספת קישור לאתר אינטרנט תוכן ממשק בדיקת מטלות...3 איחוד אתרי קורסים...5 סל מחזור... 7 חידושים בפעילויות...8 תצורת קורס: כפתורים... 9 פורומים...10 שיפ

הוספת קישור לאתר אינטרנט תוכן ממשק בדיקת מטלות...3 איחוד אתרי קורסים...5 סל מחזור... 7 חידושים בפעילויות...8 תצורת קורס: כפתורים... 9 פורומים...10 שיפ הוספת קישור לאתר אינטרנט תוכן ממשק בדיקת מטלות...3 איחוד אתרי קורסים...5 סל מחזור... 7 חידושים בפעילויות...8 תצורת קורס: כפתורים... 9 פורומים...10 שיפורים נוספים... 11 1 Moodle חדש במערכת ה- מערכת מודל

קרא עוד

תרגיל 9 מבוא ללוגיקה ותורת הקבוצות, סתיו תשע"ד 1. תהי L השפה בעלת סימן פונקצייה דו מקומי G, סימן פונקציה חד מקומי T, סימן יחס תלת מקומי.c, d וקבועים L

תרגיל 9 מבוא ללוגיקה ותורת הקבוצות, סתיו תשעד 1. תהי L השפה בעלת סימן פונקצייה דו מקומי G, סימן פונקציה חד מקומי T, סימן יחס תלת מקומי.c, d וקבועים L תרגיל 9 מבוא ללוגיקה ותורת הקבוצות, סתיו תשע"ד 1. תהי L השפה בעלת סימן פונקצייה דו מקומי G, סימן פונקציה חד מקומי T, סימן יחס תלת מקומי.c, d וקבועים L, K סימני יחס חד מקומיים,R לכל אחד מהביטויים הבאים,

קרא עוד

Microsoft Word - Ass1Bgu2019b_java docx

Microsoft Word - Ass1Bgu2019b_java docx ת ר ג י ל 1 ב ק ו ר ס מ ב ו א לתכנות 202.1.9031 JAVA סמסטר ב, ת נ א י ם ו ל ו ל א ו ת תאריך אחרון להגשה בציון מלא : 02.04.19 עד שעה : 23:55, כ ל יום איחור ל א מ א ו ש ר א ו ח ל ק ממנו מודריד 10 נקודות

קרא עוד

Slide 1

Slide 1 מבוא למדעי המחשב משפחות של מחלקות ומחלקות מופשטות תזכורת בשיעור הקודם ראינו מחלקה של Student והרחבה שלה למחלקה של Milgae למעשה Student יכול לעמוד בראש היררכיה שלמה של מחלקות שונות של סטודנטים למשל, בנוסף

קרא עוד

מבוא לתכנות ב- JAVA תרגול 7

מבוא לתכנות ב- JAVA  תרגול 7 מבוא לתכנות ב- JAVA תרגול 8 תזכורת - מבנה של פונקציה רקורסיבית.2 פונקציה רקורסיבית מורכבת משני חלקים עיקריים 1. תנאי עצירה: מקרה/מקרים פשוטים בהם התוצאה לא מצריכה קריאה רקורסיבית לחישוב צעד רקורסיבי: קריאה

קרא עוד

אוניברסיטת בן גוריון בנגב תאריך המבחן: שקולניק אלכסנדר שם המרצה: מר בשפת JAVA מבוא לתכנות מבחן ב: מס' הקורס : הנדסת תעשיה וניהול מ

אוניברסיטת בן גוריון בנגב תאריך המבחן: שקולניק אלכסנדר שם המרצה: מר בשפת JAVA מבוא לתכנות מבחן ב: מס' הקורס : הנדסת תעשיה וניהול מ אוניברסיטת בן גוריון בנגב תאריך המבחן: 12.02.17 שקולניק אלכסנדר שם המרצה: מר בשפת JAVA מבוא לתכנות מבחן ב: 202.1.9031 מס' הקורס : הנדסת תעשיה וניהול מיועד לתלמידי : א' מועד א' סמ' שנה תשע"ד 3 שעות משך

קרא עוד

Microsoft Word - Document1

Microsoft Word - Document1 2.2 עקרונות עבודה ברמה שניה 2 Layer כאשר אנו מתעסקים כיום ברשתות תקשורת מקומיות אנו רואים נטייה לצמיחה וגידול כמות המשתמשים ברשת. כאשר מדובר למשל בחברה קטנה בה כל התחנות מקושרות לרשת מסוג SHARED MEDIA

קרא עוד

PowerPoint Presentation

PowerPoint Presentation תוכנה 1 תרגול 1: סביבת העבודה ומבוא ל- Java 1 מנהלות אתר הקורס: http://courses.cs.tau.ac.il/software1/1516b/ מתרגלים: ברית יונגמן )שעת קבלה: שלישי ב- 8:00 בתיאום מראש( לנה דנקין )שעת קבלה: שלישי ב- 17:00,

קרא עוד

מקביליות

מקביליות PROMELA גרא וייס המחלקה למדעי המחשב אוניברסיטת בן-גוריון עדכון אחרון: 21:40 15/06/2013 2 שפת מ פ ר ט עם ס מ נ ט יק ה מוגדרת באופן מתמטי "שפת תכנות" למודלים המטרה: לאפשר גם לכאלה שאינם חוקרים בתחום לבנות

קרא עוד

PowerPoint Presentation

PowerPoint Presentation תכנות מתקדם בשפת Java אוניברסיטת תל אביב 1 תוכנה 1 תרגול 3: עבודה עם מחרוזות )Strings( מתודות )Methods( 1 תכנות מתקדם בשפת Java אוניברסיטת תל אביב 2 מחרוזות )STRINGS( 3 מחרוזות String s = Hello ; מחרוזות

קרא עוד

תרגול 1

תרגול 1 תרגול rcsin d rcsin t d שאלה חשב את האינטגרלים המסוימים הבאים: sin cos d rcsin d sin cos d א ב ג פתרון שאלה סעיף א נציב dt sin d t cos עבור נקבל t cos cos עבור נקבל sin cos d tdt סעיף ב נפתור תחילה בעזרת

קרא עוד

משימה תכנית המתרגמת קטעי טקסט לשפה אחרת הקלט: קובץ המכיל את קטעי הטקסט וכן את השפה אליה רוצים לתרגם תרגול מס' 4: המתרגם שימוש במחלקות קיימות תכנות מתק

משימה תכנית המתרגמת קטעי טקסט לשפה אחרת הקלט: קובץ המכיל את קטעי הטקסט וכן את השפה אליה רוצים לתרגם תרגול מס' 4: המתרגם שימוש במחלקות קיימות תכנות מתק משימה תכנית המתרגמת קטעי טקסט לשפה אחרת הקלט: קובץ המכיל את קטעי הטקסט וכן את השפה אליה רוצים לתרגם תרגול מס' 4: המתרגם שימוש במחלקות קיימות 2 הפשטה שאלות כצעד ראשון נפתור בעיה הרבה יותר פשוטה האם כבר

קרא עוד

Microsoft Word - Summary

Microsoft Word - Summary מבנה מחשבים סיכום מעגלים לוגיים מושגים בסיסיים: VDD/POWER זרם גבוה, "1"; VSS/GROUND זרם נמוך, "0". טרניזסטורים pmos nmos כאשר השער מקבל זרם גבוה, הטרנזיסטור מופעל ומעביר nmos זרם מהמקור למטרה. שער זה מעביר

קרא עוד

PowerPoint Presentation

PowerPoint Presentation שוק הסחורות עקומת S שינוים ברמת ההשקעות גורמים לשינוים בתוצר של שיווי משק ל. נניח משק סגור, הו צאות הממשלה קבועו ת ואין מסים, ההשקעות תלויות בשער הריבית והצריכה תלויה בהכנסה הפנויה. A 45 עק ומת : S מתארת

קרא עוד

Slide 1

Slide 1 מבוא לשפת C תירגול 8: פונקציות שבוע שעבר... מערכים מיזוג מערכים ממויינים מערכים דו-ממדיים מבוא לשפת סי - תירגול 8 2 תוכנייה פונקציות ברמת התקשורת הבין-אישית חלוקה לתתי בעיות בדומה למפתח של ספר קריאות גבוהה

קרא עוד

תרגול מס' 4: המתרגם שימוש במחלקות קיימות מחרוזות, קבצים, וקבלת קלט מהמשתמש

תרגול מס' 4: המתרגם שימוש במחלקות קיימות מחרוזות, קבצים, וקבלת קלט מהמשתמש תרגול מס' 4: המתרגם שימוש במחלקות קיימות מחרוזות, קבצים, וקבלת קלט מהמשתמש המתרגם משימה: תכנית המתרגמת קטעי טקסט לשפה אחרת הקלט: קובץ המכיל את קטעי הטקסט וכן את השפה אליה רוצים לתרגם 2 שאלות האם כבר יש

קרא עוד

תכנון אלגוריתמים, אביב 1021, תרגול מס' 4 תכנון דינאמי תכנון דינאמי בתרגול זה נדון בבעיית הכפלת סדרת מטריצות (16.1.(CLR ראשית נראה דוגמא: דוגמא: תהינה

תכנון אלגוריתמים, אביב 1021, תרגול מס' 4 תכנון דינאמי תכנון דינאמי בתרגול זה נדון בבעיית הכפלת סדרת מטריצות (16.1.(CLR ראשית נראה דוגמא: דוגמא: תהינה תכנון דינאמי בתרגול זה נדון בבעיית הכפלת סדרת מטריצות (6..(CLR ראשית נראה דוגמא: דוגמא: תהינה ארבע מטריצות:. A, A, A, A נסמן את גודל המטריצות בסדרה ע"י סדרת גדלים כאשר, p 5 5 p היא בגודל A {,,,5,}, P כלומר

קרא עוד

HTML - Hipper Text Makeup Language

HTML - Hipper Text Makeup Language תכנות בסביבת האינטרנט 1 תיבת טקסט טופס הטופס הוא הדרך של בעלי האתר לקבל משוב מהגולשים, מאפשר לגולש להתחבר לאתר כחבר, מאפשר לבצע רכישות באתרי קניות וכד'. כשהגולש ממלא את הטופס, מועבר תוכן הטופס לדף מיוחד

קרא עוד

מצגת של PowerPoint

מצגת של PowerPoint מבוא כללי לתכנות ולמדעי המחשב תרגול מס' 1 דין שמואל dshmuel110@gmail.com 1 1. מנהלות מרצה: אמיר רובינשטיין, amirr@tau.ac.il שעות קבלה: לשאלות קצרות - מייד לאחר השיעור. ניתן לתאם במייל שעות אחרות. מתרגל:

קרא עוד

Slide 1

Slide 1 פוליטיקה ארגונית למנהלי משאבי אנוש תמר חושן יעוץ ניהולי "ולחשוב שאני צריכה לבזבז עכשיו כל כך הרבה זמן על הדבר הזה..." למה לי פוליטיקה עכשיו?! "אם אתה מקצוען אמיתי. באמת טוב במה שאתה עושה אתה לא רוצה ולא

קרא עוד

SF4604MCNR Dolce Stil Novo תנור קומפקטי משולב מיקרוגל זכוכית שחורה EAN13: רכיבים בגימור נחושת פונקציות בישול 13 פונקציית ניקוי פירוליטי

SF4604MCNR Dolce Stil Novo תנור קומפקטי משולב מיקרוגל זכוכית שחורה EAN13: רכיבים בגימור נחושת פונקציות בישול 13 פונקציית ניקוי פירוליטי תנור קומפקטי משולב מיקרוגל EAN13: 8017709217853 רכיבים בגימור נחושת פונקציות בישול 13 פונקציית ניקוי פירוליטי באדים מתכונים ניתנים לתכנות 10 תוכניות אפיה אוטומטיות 50 פונקציית הפשרה, התפחה, הפעלת שבת בקרת

קרא עוד

פתרון מוצע לבחינת מה"ט ב_שפת c מועד ב אביב תשע"ט, אפריל 2019 מחברת: גב' זהבה לביא, מכללת אורט רחובות שאלה מספר 1 מוגדרת מחרוזת המורכבת מהספרות 0 עד 9.

פתרון מוצע לבחינת מהט ב_שפת c מועד ב אביב תשעט, אפריל 2019 מחברת: גב' זהבה לביא, מכללת אורט רחובות שאלה מספר 1 מוגדרת מחרוזת המורכבת מהספרות 0 עד 9. פתרון מוצע לבחינת מה"ט ב_שפת c מועד ב אביב תשע"ט, אפריל 2019 מחברת: גב' זהבה לביא, מכללת אורט רחובות שאלה מספר 1 מוגדרת מחרוזת המורכבת מהספרות 0 עד 9. הדפסה ראשונה: מתבצעת לולאה שרצה מאפס עד אורך המחרוזת.

קרא עוד

מבוא למדעי המחשב - חובלים

מבוא למדעי המחשב - חובלים אוניברסיטת חיפה החוג למדעי המחשב מבוא למדעי המחשב סמסטר ב' תשע"ב בחינת סיום, מועד ב',.02..9.7 מרצה: אורן וימן מתרגלים: נעמה טוויטו ועדו ניסנבוים מדריכי מעבדה: מחמוד שריף ומיקה עמית משך המבחן: שעתיים חומר

קרא עוד

פיסיקה 1 ב' מרצים: גולן בל, משה שכטר, מיכאל גדלין מועד ב משך המבחן 3 שעות חומר עזר: דף נוסחאות מצורף, מחשבון אסור בהצלחה! חלק א'

פיסיקה 1 ב' מרצים: גולן בל, משה שכטר, מיכאל גדלין מועד ב משך המבחן 3 שעות חומר עזר: דף נוסחאות מצורף, מחשבון אסור בהצלחה! חלק א' פיסיקה 1 ב' 203-1-1391 מרצים: גולן בל, משה שכטר, מיכאל גדלין מועד ב 03.08.2017 משך המבחן 3 שעות חומר עזר: דף נוסחאות מצורף, מחשבון אסור בהצלחה! חלק א' - שאלות אמריקאיות (כל שאלה - 5 נק') - יש לסמן תשובה

קרא עוד

התגוננות בפני כוחות האופל

התגוננות בפני כוחות האופל ניהול ידע אישי על רגל אחת 1 סוגי ידע Explicit גלוי Tacit סמוי 5 מה אמר השועל לנסיך הקטן? מה קורה בבתי ספר כשמורים או מנהלים עוזבים? 8 ניהול ידע במערכת החינוך רמת התלמיד )ניהול ידע אישי( רמת המורה )ניהול

קרא עוד

מצגת של PowerPoint

מצגת של PowerPoint מהי סביבת איקס לימוד? סביבת איקס לימוד היא סביבה גמישה לתרגול היכולת לזכור ולהיזכר במושגים ועובדות מתחומי תוכן שונים על ידי התאמה. הסביבה מבוססת על המשחק 'איקס עיגול' והתוכן אותו מתרגלים יכול מסוג טקסט

קרא עוד

התאחדות מגדלי בקר בישראל ISRAEL CATTLE BREEDER S ASSOCIATION 12 באוגוסט, 2018 דוח מנכ"ל ימי קרב ומתיחות בעוטף עזה מלחמת ההתשה הנערכת ברחבי הדרום כבר מ

התאחדות מגדלי בקר בישראל ISRAEL CATTLE BREEDER S ASSOCIATION 12 באוגוסט, 2018 דוח מנכל ימי קרב ומתיחות בעוטף עזה מלחמת ההתשה הנערכת ברחבי הדרום כבר מ 12 באוגוסט, 2018 דוח מנכ"ל ימי קרב ומתיחות בעוטף עזה מלחמת ההתשה הנערכת ברחבי הדרום כבר מספר חודשים, כולל ימי קרב מורכבים, "תפסה" את המערכות התומכות בענף ברמת מוכנות גבוהה. השיקולים של שמירה על נהלים רגילים

קרא עוד

Limit

Limit פרק אינטגרל כפול לכן לפי משפט 55 )ראו גם את ההערה( שאלות :5 d cos( ) d [ ] [] שאלות עם פתרון שאלה 5 חשבו: פתרון 8 הפונקציה ) f ( ) cos( מתקיים: רציפה במלבן d cos( ) d d cos( ) d עדיף לחשב את האינטגרל השני:

קרא עוד

בקרים מתוכנתים – PLC

בקרים מתוכנתים – PLC 4.2. לאחר בניית מערכת ההנעה נחבר אליה את בקר ה- NXT באופן הבא: איור 19: חיבור הבקר אל מערכת ההנעה 29 5.2. נחבר את הבקר אל מערכת ההנעה באופן הבא: איור : 20 חיבור הסוללות והבקר אל מערכת ההנעה 30 איור : 21

קרא עוד

ייבוא וייצוא של קבצי אקסל וטקסט

ייבוא וייצוא של קבצי אקסל וטקסט ייבוא וייצוא של קבצי אקסל וטקסט (Importing & Exporting MS Excel Files and Text) ייבוא (Import) הפיכת קובץ טקסט, Excel מבסיס נתונים אחר. או סוגים אחרים, לטבלת,Access או העתקת טבלת Access בתחילת התהליך יש

קרא עוד

PowerPoint Presentation

PowerPoint Presentation 1 תוכנה 1 תרגול 1: מנהלות, סביבת העבודה ומבוא ל- Java 1 2 מנהלות I מתרגלים: יעל אמסטרדמר )שעת קבלה: חמישי ב- 12, בתיאום מראש( דביר נתנאלי )שעת קבלה: רביעי ב- 17, בתיאום מראש( http://courses.cs.tau.ac.il/software1/1415a

קרא עוד

פתרון מבחן במיקרו בקרים תשעו

פתרון מבחן במיקרו בקרים תשעו פתרון מבחן במיקרו בקרים תשעו תשובה 5 א. Mov 4h,a העבר את הנתון שבאקומולטור אל כתובת 4h ב RAM הנתונים הפנימי. Mov 41h,#1h העבר מידית לכתובת 41h ב RAM הנתונים הפנימי את הנתון 1 הקסה )1 בינארי(. Cjne a,4h,note

קרא עוד

פתרון 2000 א. טבלת מעקב אחר ביצוע האלגוריתם הנתון עבור הערכים : פלט num = 37, sif = 7 r האם ספרת האחדות של sif שווה ל- num num 37 sif 7 שורה (1)-(2) (

פתרון 2000 א. טבלת מעקב אחר ביצוע האלגוריתם הנתון עבור הערכים : פלט num = 37, sif = 7 r האם ספרת האחדות של sif שווה ל- num num 37 sif 7 שורה (1)-(2) ( פתרון 000 א. טבלת מעקב אחר ביצוע האלגוריתם הנתון עבור הערכים : num = 3, sif = r האם ספרת האחדות של sif שווה ל- num num 3 sif (1)-() (3) () אמת ) = ( 3 3 יודפס: 3. ב. פתרון שאלה 11 עבור הערכים: עבור סעיף

קרא עוד

שקופית 1

שקופית 1 RESOLUTION Resolution Spatial Resolution Contrast resolution Temporal Resolution Types of Resolution Spatial Resolution also called Detail Resolution the combination of AXIAL and LATERAL resolution -

קרא עוד

áñéñ åîéîã (ñéåí)

áñéñ åîéîã (ñéåí) מתו% 5 בסיס ומימד סיום) במסגרת הוכחת משפט של בסיסי לכל שני בסיסי של אותו מ"ו יש אותו מספר איברי ), הוכחנו בעצ יותר: משפט: א V מ"ו נוצר סופית, A V קבוצה בת"ל, B V קבוצה פורשת אז. A B הערה: מרחב וקטורי הוא

קרא עוד

מבוא לתכנות ב- JAVA תרגול 11

מבוא לתכנות ב- JAVA  תרגול 11 מבוא לתכנות ב- JAVA תרגול 11 רשימה מקושרת אוסף סדור של איברים מאותו טיפוס. קודקוד ברשימה )Node( מכיל את המידע + הצבעה לקודקוד הבא ברשימה data next first רשימה :)List( מיוצגת ע"י מצביע לאיבר הראשון ברשימה

קרא עוד

מיכפל

מיכפל מיכפל 0222 הוראות התקנה למהדורה 9.78 במהדורה 78.9 בוצעו מספר שינויים טכנולוגיים: שדרוג התוכנה ליצירת דוחות בפורמט PDF שינויים בטכנולוגיית השידור של טופס 102 לביטוח הלאומי במהלך עדכון גרסה זו תתקבלנה מספר

קרא עוד

יום עיון עורכי בקשות להיתרים

יום עיון עורכי בקשות להיתרים יום עיון לעורכי בקשות להיתרים 13.7.2017 יום עיון לעורכי בקשות להיתרים 13.7.2017 ימים תנאים מקדימים + 45 מידע להיתר ימים מידע 5 בדיקת תנאים מקדימים איסוף מידע הפקת תיק מידע ומסירתו למבקש מירי תמר תמר תנאים

קרא עוד

Microsoft PowerPoint - T-10.ppt [Compatibility Mode]

Microsoft PowerPoint - T-10.ppt [Compatibility Mode] מבוא למחשב בשפת Matlab לולאות בלוקי try-catch :10 תרגול מבוסס על השקפים שחוברו ע"י שי ארצי, גיתית רוקשטיין, איתן אביאור, סאהר אסמיר וטל כהן עבור הקורס "מבוא למדעי המחשב" נכתב על-ידי רמי כהן,אולג רוכלנקו,

קרא עוד

2013/14 אוניברסיטת חיפה מבוא למדעי מחשב, מעבדה מטרת המעבדה: לתרגל את המעבר מאלגוריתם לקוד C כמה שיותר. הוראות:.1.2 ניתן לעבוד ביחידים או בזוגות. (יש מ

2013/14 אוניברסיטת חיפה מבוא למדעי מחשב, מעבדה מטרת המעבדה: לתרגל את המעבר מאלגוריתם לקוד C כמה שיותר. הוראות:.1.2 ניתן לעבוד ביחידים או בזוגות. (יש מ מטרת המעבדה: לתרגל את המעבר מאלגוריתם לקוד C כמה שיותר. הוראות:.1.2 ניתן לעבוד ביחידים או בזוגות. (יש מספיק עמדות לכולם ולכן מומלץ לעבוד ביחידים). במהלך המעבדה יהיה עליכם לבצע משימות. אם תצטרכו עזרה בשלב

קרא עוד

Microsoft PowerPoint - meli-iso.ppt

Microsoft PowerPoint - meli-iso.ppt דגשים לעבודה עם פרוטוקול ISOILL בהשאלה בין-ספרייתית יוסי ט יסו נה המסלול האק דמי ה מכללה למי נהל יום הדרכה של מאלי בנושא השאלה והשאלה בין-ספרייתית יולי 2010 - אוניברסיטת בן-גוריון בנגב פרוטוקול ISOILL

קרא עוד

אוניברסיטת בן-גוריון המחלקה למדעי המחשב בוחן במבנים בדידים וקומבינטוריקה פרופ' מתיא כ"ץ, ד"ר עופר נימן, ד"ר סטוארט סמית, ד"ר נתן רובין, גב'

אוניברסיטת בן-גוריון המחלקה למדעי המחשב בוחן במבנים בדידים וקומבינטוריקה פרופ' מתיא כץ, דר עופר נימן, דר סטוארט סמית, דר נתן רובין, גב' אוניברסיטת בן-גוריון המחלקה למדעי המחשב בוחן במבנים בדידים וקומבינטוריקה 0-- פרופ' מתיא כ"ץ, ד"ר עופר נימן, ד"ר סטוארט סמית, ד"ר נתן רובין, גב' יעל שטיין טל באומל, לילך חייטמן-ירושלמי, נתי פטר, ד ר סטוארט

קרא עוד

עמוד 1 מתוך 5 יוחאי אלדור, סטטיסטיקאי סטטיסטיקה תיאורית + לוחות שכיחות בדידים/רציפים בגדול מקצוע הסטטיסטיקה נחלק ל- 2 תחומים עיקריים- סטט

עמוד 1 מתוך 5 יוחאי אלדור, סטטיסטיקאי סטטיסטיקה תיאורית + לוחות שכיחות בדידים/רציפים בגדול מקצוע הסטטיסטיקה נחלק ל- 2 תחומים עיקריים- סטט עמוד מתוך + לוחות שכיחות בדידים/רציפים בגדול מקצוע הסטטיסטיקה נחלק ל- תחומים עיקריים- וסטטיסטיקה היסקית; בסטטיסטיקה היסקית משערים השערות, משווים בין קבוצות באוכלוסיה ועוד, אך גם מ ניתן ללמוד הרבה על האוכלוסיה-

קרא עוד

החינוך הגופני בבית הספר מה רצוי ? מהו מקומה ש המכללה?

החינוך הגופני בבית הספר מה רצוי ? מהו מקומה ש המכללה? חינוך גופני מתפיסת עולם לתוכנית לימודים המכללה ד"ר איתן אלדר האקדמית בוינגייט מה משפיע על תפיסת עולם של המורה? התנסות עבר )מה שהיה הוא שיהיה??( שירות צבאי תפיסה / הכתבה פוליטית גלובלית ומקומית מערכת הכשרה

קרא עוד

Comp. Arch. Lecture 1

Comp. Arch. Lecture 1 א ר כי טקטור ת מ ח ש בים ד"ר טל שיקלר סו ב ול אימייל: stal@bgu.ac.il Mother board לוח אם CPU central processing unit מעבד דוגמאות: 80x86 ו תואמיו Intel 80x86 Intel Core 2 Duo 64 bits 80x86 compatible AMD

קרא עוד

תשע"דד אביב תוכנה 1 תרגיל מספר 4 עיבוד מחרוזות וקריאה מקבצים הנחיות כלליות: קראו בעיון את קובץ נהלי הגשת התרגילים אשר נמצא באתר הקורס..(

תשעדד אביב תוכנה 1 תרגיל מספר 4 עיבוד מחרוזות וקריאה מקבצים הנחיות כלליות: קראו בעיון את קובץ נהלי הגשת התרגילים אשר נמצא באתר הקורס..( תשע"דד אביב תוכנה 1 תרגיל מספר 4 עיבוד מחרוזות וקריאה מקבצים הנחיות כלליות: קראו בעיון את קובץ נהלי הגשת התרגילים אשר נמצא באתר הקורס..(http://mdle.tau.ac.il/) בלבד הגשת התרגיל תעשה במערכת ה- mdle aviv

קרא עוד

Microsoft Word - אלגברה מעורב 2.doc

Microsoft Word - אלגברה מעורב 2.doc תרגול אלגברה? ( ), (6 ) 6 9 נתון:. מהו ערכו של. () () () (). למה שווה? a ai. נתון: a + 9 + 6a () () 7 () () אף תשובה אינה נכונה?. ( ) ( + ) ( ) ( + ) ( + ) ( + ) ( + )( ) () () () (). נתון: + 0 z z z iz

קרא עוד