מעבדות בחירה

גודל: px
התחל להופיע מהדף:

Download "מעבדות בחירה"

תמליל

1 הטכניון - מ.ט.ל. הפקולטה להנדסת חשמל המעבדה ל - VLSI חוברת הדרכה על כלי CADENCE גואל סמואל, שרון בר-לב שפי, יוסי יולס תוכן עניינים התחברות ראשונית ממחשבי...2 Windows-PC הגדרת סביבת עבודה למשתמש...2 עבודה עם...3 virtuoso סימולציות הקדמה...8 אנליזת נקודות עבודה 9... DC אנליזת 9... AC ביצוע אנליזת רעש...10 סימולציה פרמטרית sweep) (parametric ביצוע אופטימיזציה באמצעות סימולציה פרמטרית...12 הצגת תוצאות סימולציה...12 סימולציות קודמות History סימולציית Monte Carlo סימולציית שרטוט Corners LAYOUT ביצוע LVS בדיקת (Layout Vs. Schematic) LVS יצירת RCX Extracted View הרצת סימולציה על ה- layout Spice Netlists imports using SpiceIn סימולציית hspiced 1

2 התחברות ממחשבי Linux-PC זאת הדרך המומלצת לעבודה. פשוט יש לבצע login לתחילת העבודה. התחברות ראשונית ממחשבי Windows-PC יש להתחבר באמצעות. GoGlobal הגדרת סביבת עבודה למשתמש חוברת זאת מכילה הסבר קצר על איך להתחיל לעבוד עם כלי.Cadence ההסברים מיועדים למשתמשים המעוניינים לעשות,Custom VLSI Design כלומר תכנון ידני. החוברת מכילה את הפרקים הבאים: 1. מבוא 2. ציור סכמות 3. סימולציות אנלוגיות 4. ציור מסכות (layout).5 הרצת DRC ו- LVS 6. הרצת סימולציה על ה- Layout עזרה: הפעל את הפקודה: cdsnhelp אם זאת הפעם הראשונה בנה ספריה חדשה בשם cadence למשל: mkdir cadence עבור לספריה חדשה : cd cadence הקפד לעשות תמיד לפני הפעלת הכלים. עבור טכנולוגיות 0.18u: TOWER העתק את קבצי האתחול: יש לבקש מגואל הרשאות מתאימות לעבודה עם ספריית,Tower ללא הרשאות אלו לא ניתן להעתיק את הקבצים הבאים. לאחר מתן ההרשאות להתנתק ולבצע login מחדש. יש להקפיד לרשום את הרווח והנקודה בסוף כל שורה: וודא שקובץ ה-.cshrc שלך מכיל את השורות הבאות: source /users/iit/cadence/source_cadence618 setenv DRCTEMPDIR /tmp/drcusername כאשר username הוא שם החשבון של המשתמש )בדר"כ (epxxxx ניתן לערוך את הקובץ בעזרת הפקודה: nedit ~/.cshrc & שנה את הקובץ במידת הצורך, שמור את השינויים באמצעות ה- save וסגור את nedit מסודר באמצעות. File Exit יש לבצע login מחדש על מנת שיחולו השינויים. cp /tools/kits/tower/ts18sl_54_2/kit.cshrc. העתק את הקובץ הבא לספרית העבודה : פתח את הקובץ ושנה את השורה הבא setenv PROJ_ROOT /users/user_name/cadence כל ש- PROJ_ROOT יצביע על ספרית העבודה שלך. בצע את הפקודות הבאות : source KIT.cshrc echo `username` > user_list כאשר username מהווה שם החשבון שלך. makeprojecttree Project -flow T18C6DNSL1 -users user_list -cds -ver -assura -pvs 2

3 כאשר Project הוא שם )כלשהו( שיינתן לפרויקט. )אין לבצע את הפקודה makeprojecttree יותר מפעם אחת לפרויקט!( עבודה עם virtuoso הפעלת הכלי לאחר מכן, כל פעם שמפעילים את הכלי, יש לבצע את הפקודות הבאות : cd cadence source KIT.cshrc cdsprj Project virtuoso & ניהול ספריות באמצעות,Tools Library Manager ניתן להעתיק ולמחוק קבצים ולנהל ספריות. אין למחוק קבצים או ספריות שאינכם יודעים לשם מה הם משמשים. הפקודה makeprojecttree יוצרת ספרית עבודה בשם.Prj_username עליך לשמור את כל התכנון בספריה הזאת. יצירת ספריית עבודה בסביבה מופיעות שתי ספריות עבודה : Project_username ו-.Project_master ניתן לשים את כל התכנון בספריות אלה. אבל אם ברצונך ליצר ספריה נוספת, ניתן לבצע זאת באופן הבא : File -> new -> library נפתח חלון, בשדה ה- name יש לרשום את שם הספרייה שבחרת לדוגמא.project עבור טכנולוגיות 0.18u: TOWER בחר באופציה library","attach to an existing technology לחץ על O.K. המאשר את היצירה של הספרייה חדשה ובחר ב-.ts018_prim לחץ.O.K. במידה ו- ts018_prim לא מופיע, המשתמש לא עודכן כראוי ויש לחזור על השלבים הקודמים של עדכון הקבצים. חשוב!!! : יש לסגור את כל החלונות רק באמצעות פקודת ה- file exit או פקודת ה- file close window של האפליקציה. אין לסגור את החלון עם ה- )x( exit של החלון עצמו! שרטוט מעגלים על מנת לבנות סכמה חדשה עליך ללחוץ על. File->New->Cellview project : library : cell name שם של התא החדש. schematic :view name schematics-xl : Application יפתח חלון שבאמצעותו תוכל לצייר את המעגל שלך. יש ללחוץ על OK לאישור. בחלק זה נלמד לשרטט סכימה חשמלית של מעגל נתון בעזרת כלי.schematic עתה יופיע חלון חדש המיועד לשרטוט סכמות חשמליות: 3

4 icon )או Example of Schematic Window בשלב הבא 'נצייר' את המעגל המכיל מספר אלמנטים. בכדי להוסיף רכיבים חדשים למעגל, יש להשתמש בפקודה Create Instance מתאים(. בחלון שמתקבל לחץ על.browser בחלון שנפתח בחר בספרית ts018_prim )עבור טרנזיסטורים ב- )Tower 0.18 או ב- analoglib עבור מקורות ורכיבים אידיאליים. בתוך ספריות הנ"ל זו ניתן למצוא את כל הרכיבים הדרושים. pmos18 nmos18 vdc gnd cap vsin הרכיבים הרלוונטיים למעגל הם: טרנזיסטור pmos בעל 4 הדקי חיבור טרנזיסטור nmos בעל 4 הדקי חיבור מקור DC ל- Vdd ו- Vss חיבור לאדמה קבל מקור מתח חילופין בחר ב- view מסוג.symbol לאחר הבחירה יש לעבור לחלון ה- schematics ולגרור את החלק למקום הרצוי, ובאורינטציה הרצויה rotate(,)sideways, upside down, לחץ על F3 על מנת לקבל אפשרויות אלה. בעזרת הכפתור השמאלי ניתן למקם את הרכיב. בכדי לעבור לפקודה הבאה יש ללחוץ על. Esc בכדי לחבר בין הרכיבים יש להשתמש בפקודה Create Wire )או icon מתאים(, לחיצה ראשונה על הכפתור השמאלי ממקמים את הנקודה הראשונה של הקו, יש 'לגרור' את הקו ללא לחיצה על כפתורי העכבר עד למקום הרצוי לסיום הקו. לחיצה כפולה על הכפתור השמאלי, תסיים את הקו. 4

5 לאחר שרטוט המעגל כולו יש לקבוע/לשנות את ערכי הפרמטרים של כל הרכיבים המשורטטים )ערכי המתחים, גדלי הטרנזיסטורים, וערך הקבל(, בחר ב-, edit properties object סמן את האלמנט הרצוי ולחץ על הכפתור השמאלי. דוגמא לחלון properties של טרנזיסטור :pmos18 Window of edit properties of pmos18 לאחר עדכון כל ערכי הרכיבים יש לבצע שמירה של המעגל ע"י.File check and save הערה חשובה: את הטרנזיסטורים יש למקם כך שהכיוון ה- source שלהם יהיה נכון! )הסימולטור אינו רואה את הטרנזיסטור כסימטרי(. 5

6 על הסכמה שלך להכיל רק את האלמנטים שבמעגל, כלומר טרנזיסטורים, נגדים, קבלים וחיבורים ל- vdd ול-.gnd יש להוסיף pin עבור כל כניסה ויציאה של המעגל. להוספת pin בחר ב-,Create Pin בחלון שנפתח רשום שם ה- pin ואת סוגו )input,output(, בחר את כיוונו וסובב אותו במידת הצורך. הבא את הסמן למקום שבו אתה רוצה למקם את ה- pin ולחץ על כפתור העכבר. מקורות שדרושים בביצוע סימולציות נוסיף בהמשך. כעת נבנה symbol למעגל, בנית - symbol כדי שאפשר יהיה להשתמש במעגל כתא בסכמה ברמת היררכיה גבוהה יותר. ניתן לעשות זאת בעזרת.Create Cellview From Cellview בחלון שנפתח לחץ,O.K. מקם את הכניסות ואת היציאות על הצלעות הרצויות ולחץ על.O.K. ניתן להוסיף labels לחוטים חשובים באמצעות Create Wire name והצמדת שם משמעותי לחוט. רצוי מאד להפריד בין האלמנטים של המעגל לאלמנטים שנחוצים להרצת הסימולציות. לכן, על מנת לסמלץ את המעגל שיצרת, בונים סכמה חדשה שמכילה את ה- symbol של המעגל שבנית ואת כל המקורות הנחוצים לסימולציה. חייב להופיע גם מקור בשם vdc שאליו מחוברים ה- vdd : symbols ו-.gnd בעזרת מקור זה קובעים את מתח ההספקה של המעגל. Lower level Schematic with no sources Higher level Simulated Circuit 6

7 Property form of sin source 7

8 סימולציות : הקדמה Cadence מספקת מספר תוכנות לסימולציות אנלוגיות: UltraSim, ams ו-.spectre לרב נשתמש ב-.spectre אפשר גם לבחור בתוכנת סימולציה hspice של חברת.mentor ניתן לבצע סוגי סימולציה רבים ובין יתר : סימולציה בזמן -.Transient סימולציה בתדר -.AC סימולציית רעש )מבוצעת כמובן גם היא בתחום התדר(. סימולציית DC )שינוי מקור מתח כניסת ה- DC למעגל(. סימולציית parameter sweep סימולציית.Monte Carlo סימולציית.Corners ב- Launch קיימים 5 ממשקים להפעלת הסימולציה. הישנים יותר : L ADE XL,ADE ו- ADE GXL והחדשים ADE Explorer ו-.ADE Assembler במסמך זה, אנו נתאר את החדשים בלבד. ADE Explorer הוא הפשוט יותר ומאפשר הגדרת של testbench אחד לכל תכנון, ADE Assembler מאפשר להגדרה של יותא מ- testbench אחד לכל תכנון. חשוב לציין שקיימות דרכים רבות לבצע את הפעולות השונות של סימולטור. לרוב, נתאר כאן רק אחת הדרכים. הדרכה נוספת ב: /users/iit/cadence//rak/explorer_assembler_rak/explorer_assembler_rak 617ISR15.pdf ADE Explorer כדי לפתוח את הסימולטור יש לבצע את המהלך הבא: Launch ADE Explorer ובחר.Create New View לחץ על OK בחלון שנפתח. יפתח tab חדש עבור ה- viewname בשם maestro של הכלי : Virtuoso ADE Explorer לחיצה על שם התא TestCell( במקרה זה( יחזיר את הסכמה. ADE Explorer 8

9 אם ה- view מסוג maestro כבר קיים, יש לבחור ב- Open Existing בפתיחת הסימולציה. שינוי הספרייה המכילה תוצאות סימולציות: בחלון,Virtuoso Explorer לחץ על Setup Save Options ב- Results Location יש למלא את הספריות הרצויות למיקום הפלטים. אנליזת נקודות עבודה DC בחר ב-:.Analyses -> Choose סמן את לחצני Save DC Operating Point,dc ו-.Enabled לחץ.OK להפעלת הסימולציה לחץ על החץ הירוק שבצד ימין. ניתן לראות את תוצאות הסימולציה ה- dc על הסכמה. לחץ על ב- Results->Annotate ובחר ב-, DC Node Voltages DC Operating Points או.DC Currents לצפייה בלוג ריצה של הסימולציה יש ללחוץ על.Simulation->Output Log יש לבחור ב- AC ולמלא את תחום התדרים הרצוי לצורך אנליזת AC בחר ב-: Choose.Analyses הסימולציה: Fig 8 : Analysis Window for ac Analysis 9

10 לאחר מילוי הפרטים יש ללחוץ O.K לאישור. להפעלת הסימולציה לחץ על החץ הירוק שבצד ימין. )באופן דומה ניתן לבצע אנליזת Transient כאשר הפעם יש למלא את הערך התחתון והעליון של סקלת הזמן וכן את אינטרוול הזמן לדגימת התוצאה.( ביצוע אנליזת רעש בחר ב-:.Analyses <- Choose יש ללחוץ על.noise בחלון שנפתח יש להגדיר את תחום התדר בו מעוניינים לבצע את אנליזת הרעש. עבור Output Noise ו- Input Noise בחר ב- Voltage למשל. יש לבחור את מקור המתח ע"י לחיצה על select ובחירת המקור על הסכמה. לאחר מכן יש לסמן את צומת היציאה ע"י לחיצה על select ובחירתו על הסכמה. Fig 9 : Analysis Window for Noise Analysis לאחר מילוי הפרטים יש ללחוץ O.K לאישור. להפעלת הסימולציה לחץ על החץ הירוק שבצד ימין. סימולציה פרמטרית sweep) (parametric 10 בסימולציה זו נקבע טווח ערכים עבור משתנים ונריץ עבורם סימולציה אחת במקום להריץ סימולציה נפרדת עבור כל ערך. לדוגמא, נשנה את אורך טרנזיסטור ה- pmos ל- lp ורוחב ה- nmos ל-.wp )סימון הטרנזיסטור ולחיצה על הכפתור הימני ובחר ב- ה- properties (. בצע.Check and Save במסך ה- ADE

11 Explorer נתכנן סימולציית transient פשוטה. על מנת לייבא את המשתנים לסביבת ה- ADE יש לבצע :Variables->Copy From Cellview ניתן לתת ערך default למשתנים בעזרת.Variables->Edit נגדיר ערך של 0.42 ל- wn ו : lp ל- בנוסף לכך, כאן נרצה לקבוע תחום ערכים לשני המשתנים. ראשית נגדיר תחום הערכים ל- wn מ עד 0.84 בקפיצות של 0.2. לחץ שתי לחיצות מהירות על 0.42 ולחץ על האליפסה עם שלש הנקודות. מלא את החלון שנפתח באופן הבא : בצורה דומה נבצע עבור lp לפי האיור הבא : 11

12 להפעלת הסימולציה לחץ על החץ הירוק שבצד ימין. ניתן לראות שמתבצעות = 3 X 3 9 ריצות. הרצת הסימולציה )לחיצה על החץ הירוק שבצד ימין( תריץ מספר איטרציות בהתאם למספר הערכים שנקבעו כאשר בחלון ה- results כל שורה תייצג ערך שונה. הצגת תוצאות סימולציה קיימות מספר דרכים להצגת התוצאות: לשונית ה- Setup Output ושימוש ב- Calculator - ה- Browser Result - ה- Calculator כאן ניתן לבנות כל ביטוי שברצוננו להציג. ניתן להעתיק את הביטוי לחלון ה- Output Setup על ידי לחיצה על "<" בשדה ה-.Expression/Signal/File כעת נתאר כיצד לבנות ביטוי פשוטים בחלון ה-.Calculator אם חלון ה- Calculator עדיין סגור ניתן לפתוח אותו בחלון הסימולטור על ידי : Tools Calculator יפתח החלון הבא לאחר לחיצה על : Fig 10 : Calculator Window בחלק העליון של המסך ישנם כפתורי קיצור למשל כפתור,vt כפתור זה נועד ליצירת גרפים המתאימים לסימולציות vf( transient גרפים לסימולציות תדר וכו'(. לחיצה על vt תקפיץ את חלון ה- schematic, סימון אחד החוטים ב- schematic יצור במחשבון את הביטוי למתח עבור חוט זה: 12

13 Vt button בתחתית המסך ישנה רשימה של פונקציות שימושיות המוצגים. שניתן להפעיל על המתחים או זרמים להצגת תוצאות אנליזת :AC בחר ב- vf עבור מתח או if עבור זרם, לאחר מכן את החוט )עבור מתח( או ההדק )עבור זרם( הרצוי ובחר mag או phase בהתאם לצורך, לבסוף לחץ על.Tools Plot כעת יפתח חלון waveform ליד הסכמה ובו הגרף המבוקש. כדי להפוך את חלון ה- waveform לחלון עצמאי יש ללחוץ על. לחיצה על מחזירה את החלון למיקומו הקודם. )כדאי מאד להציג את הצירים בסקלה לוגריתמית בחלון ה- waveform יש ללחוץ על הציר גרף עם העכבר ולבצע:, axis log וכנ"ל עבור ציר y(. של להצגת תוצאות אנליזת :Transient בחר ב- vt עבור מתח או it עבור זרם, לאחר מכן את הרצוי ולבסוף לחץ על. Tools plot החוט )עבור מתח( או ההדק )עבור זרם( ביטויי calculator מורכבים, שימוש בזיכרון המחשבון ניתן ליצור ביטויים יותר מורכבים על ידי שימוש בזיכרון המחשבון. לדוגמא נרצה למדוד את הגבר המעגל: במסך ה- calculator לחץ על כפתור,vt כעת כל חוט שתסמן יכנס ל- stack )זכרון של המחשבון(. סמן את Vout ב- schematic וסמן את.Vin The Calculator's Stack לחץ על סימן חלקי "/" שמופיע בצד ימין למטה במחשבון, התקבל ביטוי של מתח המוצא חלקי מתח הכניסה. כעת בחלק התחתון של המסך באזור שבו מופיעות הפונקציות השימושיות, לחץ על הפונקציה.dB20() כעת גם db20() התווסף לביטוי. הביטוי הסופי: 13

14 הוסף את הביטוי שיצרת ללשונית ה- Setup Outputs באמצעות לחיצה על "<".)expr הוא ששדה ה- Type )וודא Expression/Signal/File ה- בשדה ה- Browser Results גם באמצעות ה- browser result ניתן לצפות בתוצאות. פתח בחלון ה- Explorer ADE הראשי את.Tools Results browser Fig 11 : Results browser Window בצד שמאל למעלה של המסך תוכל לראות את הסימולציוה אותה ביצעת. בצד ימין תוכל לעיין בצמתים עבורם קיים גרף למשל עבור סימולציית transient שביצעת לחץ פעמיים מהר על tran בחלון שמאלי. כעת תוכל לראות את שמות הצמתים עבורם קיים גרף. בחר בסיגנל ולחץ על הכפתור הימני. בעזרת Plot Signal ניתן להדפיס את הגרף. אופציה מעניינת - ניתן להציג Y) axis) axis vs Y לדוגמא עבור סימולציית tran נציג את מתח המוצא כתלות במתח הכניסה ולא.Vin כתלות בזמן. סמן את Vout מתקבל הגרף הרצוי. ולחץ על כפתור שנמצא בסרגל הכלים, כעת לחץ על להצגת תוצאות אנליזת רעש: פתח בחלון ה- Explorer ADE הראשי את.Tools Results browser בחר ב- noise ובצע קליק כפול על שם של צומת. משמעות הגרף שתקבלו היא: מהו הרעש במוצא המגבר כאשר הוא מיוחס לכניסה. )כלומר הרעש ביציאה מחולק בפונקצית התמסורת של המגבר(. לחיצה על הכפתור הימני ובחירת calculator יעתיק את הביטוי ל-.Calculator 14

15 .Virtuoso ADE Explorer לשונית ה- Setup Output לחץ על הלשונית Output Setup בחלון ה- Outputs Setup Tab בחלון זה ניתן להוסיף סיגנלים וביטוים שברצוננו לשמור ולחשב. לחץ Outputs-Add להוספת פרמטר לצפייה. להצגת המתח של צומת בחר בעמודת type ב-.signal לחץ על השדה Details עד להופעת "...". לחיצה על "..." תגרום להופעת הסכמה. בחר ברשת )חוט( שברצונך להציג את הגרף עבורו, סמן וי בעמודת ה- plot לצפייה בגרף בסיום הריצה. הצגת זרם ניתן לעשות בצורה דומה אך יש לבחור הדק של התקן במקום חוט. להצגת כל ביטוי אחר יש לבחור ב- expression במקום.signal הפעם לחיצה על השדה ה- Details תגרום להופעת. לחיצה על הסמן תפתח את החלון הבא : בהתחלה החלון ריק. ניתן לבנות ביטוי ב- calculator ולהעתיק אותו לכאן בעזרת copy-paste או לבנות את הביטוי כאן בעזרת שדה ה-.Locate Function כאמור, יישמר כל המידע שמוגדר בחלון זה. לחלופין ניתן להתחיל בהקלדת db למשל. החלון הבא יופיע : לאחר מכן יופיע החלון הבא : 15

16 ובחירת VT ו- OUT ישלים את התהליך: הצגת גרפים על הסכמה : עבור לחלון הסכמה. לחץ על waveforms בתפריט הבא : ברגע שהסמן נוגע בחוט בסכמה, ניתן יהיה לראות את צורת הגל : 16

17 סימולציית Corners Corner Analysis נועד לבדוק את תפקוד המעגל תחת תנאי עבודה שונים )מתח אספקה, טמפ'...( ומודלים שונים של הרכיבים הנוצרים בתהליך היצור. במהלך היצור של הרכיבים ישנה התפלגות באיכות הרכיבים והם מקוטלגים בקטגוריות כגון- מהירים, טיפוסיים, איטיים וכד', בקובץ המודלים מוגדרים "סטים" של מודלים/רכיבים מסוגים אלו. הערה חשובה בקובץ המודלים כאמור מוגדרים גם "סטים" של טרנזיסטורים מהירים ואיטיים. "סטים" אלו הם סוג של פינות מוכנות מראש וניתן להריץ עליהם סימולציות פשוטות dc) (tran, ללא צורך בהגדרת סימולציות corners מורכבות. כאשר נרצה לשלב פינות מכמה "סטים" נפרדים או בשילוב עם משתנים נוספים נבצע סימולציית corner מורכבת כפי שניתן לראות בדוגמא הבאה. דוגמא לסימולציית Corners בדוגמא הזאת נבצע סימולציית Corners מורכבת על מעגל המהפך. נגדיר פינות עם טמפרטורה ומתח אספקה משתנים, נשנה את קבל המוצא לקבל שאינו אידיאלי מספריית.tower כמו כן נשלב בבדיקות טרנזיסטורים "מהירים", "טיפוסיים" ו-"איטיים". פתח את ה- schematic של המהפך. בחר ביציאות שיש להציג כפי שמוסבר ב- outputs setup בעמ' 13. הגדר לדוגמא סימולציה פשוטה.tran הרץ את הסימולציה וודא שאין שגיאות. כעת נגדיר סימולציות ה-.corners בצד שמאל של חלון ה- maestro לחץ על ה- + ליד Corners ולחץ על הכפתור הימני כשהסמן מעל.Nominal בחר ב-.Add Corner לחץ על הכפתור בשמאלי כשהסמן מתחת ל-.Model Files לחץ על Import From Tests בחלון שנפתח. לחץ על.OK להוספת פינה, לחץ על הכפתור הימני ובחר ב-.(Cntr (N Add Corner שתי לחיצות מהירות על <section> בחירת מודל הרצוי עבור הטרנזיסטורים : אפשר להגדיר טמפרטורה שונה לכל פינה. אפשר להגדיר את Vdd במשתנה ולתת לו ערך שונה בכל פינה. עליך להגדיר את כל השדות הרלוונטיים. לחץ על.OK 17

18 Corners view כעת ניתן לראות את הנתונים עבור כל סימולציה שתרוץ. אפשר להוסיף לפינות גם Variables ו-. Parameters לחץ.O.K יש לוודא מסומן "וי" ליד המילה Corners בצד שמאל. הרץ את הסימולציה ע"י לחיצה על החץ הירוק. את הגרפים )למשל של )vout תוכל לראות בלשונית ה- results.דוגמא לפלט- מתח המוצא של המהפך: Vout vs time (Corners simulation) 18

19 אופציה מתקדמת- הוספת parameter sweep לסימולציית,corner דוגמא: במסך ה- schematic הגדר למקור המתח בכניסה למהפך משתנה בשם tt בשדות rise time ו-.fall time בחלון ה- Data Global parameters תחת Virtuoso Analog Design Environment XL של ה- Editing View הוסף את tt ולחץ פעמיים על ערך ה- default שלו, לחץ על " " שמופיע: במסך שנפתח לחץ על ה- menu drop down למעלה ונבחר.from/to הגדר את תחום הערכים הרצוי עבור זמני עליה וירידה )ראה תמונה( ולחץ.O.K כעת יעודכן מספר ה- points sweep בחלון ה- summary.run הרצת הסימולציה תריץ מכפלה של מספר הcorners במספר ה- sweeps כאשר בחלון ה- results כל שורה תייצג ערך sweep שונה, לכן יש לשים לב שמספר הריצות אינו גדול מדי. Variable parameterize window Run Preview בעזרת Simulation-Run Preview בסימולציה הבא. לחיצת על. ניתן לראות את כל הסימולציות ייתן פירוט לגבי הריצות. ביצוע אופטימיזציה באמצעות סימולציה פרמטרית שאמורות לרוץ ניתן להגדיר תנאים אותם יבדוק הסימולטור במהלך הריצה. סימולציה מסוג זה היא בבסיסה סימולציה פרמטרית מאחר ונרצה לבדוק את התוצאות עבור מספר קונפיגורציות שונות ולבחור את הטובה מבניהן. לאחר הגדרת המשתנים או הפרמטרים עבורם יתבצע ה- sweep יש להגיר את התנאי או התנאים. הגדרת התנאים היא פשוטה ונעשית בלשונית ה- Setup Outputs של חלון ה-,ADE Explorer נוסיף את התנאי שנרצה לבדוק בשדה ה- Spec של הרשומה. בעת הרצת הסימולציות, הסימולטור יבדוק האם התנאי מתקיים ויודיע הודעה מתאימה עבור כל ריצה בלשונית ה- Results. לשונית ה- Setup :Outputs 19

20 ניתן להגדיר Spec עבור expression )ולא עבור.)signal ניתן לבנות את הביטוי ב- calculator ולהעתיק אותו לחלון ה-.Outputs Setup לשונית ה- Results : סימולציית Monte Carlo במהלך היצור, הפרמטרים של הרכיבים אינם זהים לחלוטין אלא מתפלגים לפי התפלגות סטטיסטית כלשהי. בדרך כלל מריצים סימולציות עם ערך אחד מסוים עבור כל פרמטר כפי שהוגדר בקובץ המודלים. לעיתים יש להריץ סימולציות כאשר הפרמטרים השונים מקבלים ערכים אקראיים. סימולציות Monte Carlo נועדו לאנליזה סטטיסטית של התנהגות המעגל על ידיי הרצת מספר רב של סימולציות תוך כדי בחירה אקראית של פרמטרי המודל בהתאם לפילוג הסטטיסטי שלהם. הרצת הסימולציה: ראשית הרץ סימולציית נקודות עבודה DC כפי שהוסבר לעיל. הוסף סימולציות נוספות כרצונך transient(,)...ac, הוסף את הביטויים עבורם תרצה לראות פלטים בלשונית ה- setup output כפי שהוסבר לעיל. וודא שהריצות מסתיימות בהצלחה. בחלון tab) ADE Explorer (maestro בחר באופציה Sampling: Monte Carlo לחץ על Click to Open Setup Form לפתיחת תפריט.monte carlo לחץ על Advanced Show.All Options הסבר על האופציות השונות בתפריט: Method: Process בחירת אופציה זו תיצור מודל סטטיסטי לכל תהליך..instance בחירת אופציה זו תיצור מודל סטטיסטי עבור כל Mismatch ו- Mismatch. Process שילוב של -All Sampling method 20

21 סמן random לריצה רגילה. סימון Latin hypercube יכול לחסוך מספר ריצות. Other options Run nominal Simulation מאפשר ריצת מבחן לבדיקה האם המעגל תקין, חוסך ריצות מיותרות במקרה של שגיאה. -Save Waveforms חיוני להדליק אופציה זאת כדי לאפשר שמירת נתוני כל הריצות, אופציה זו צורכת מקום רב בדיסק ולכן יש להסיר ריצות ישנות. Seed הזנת seed תיצור את אותם התנאים בכל סט סימולציות והתוצאות יהיו זהות כל פעם. השארת שדה זה ריק תגרום ליצירת נתונים רנדומאליים עבור כל סט סימולציות monte carlo -First Point מגדיר את מספר הריצה התחלתית. -Number of points מגדיר את מספר הסימולציות שירוצו. דוגמא לסימולציה, בתפריט Monte carlo בחר: Method: all Number of points : 20 Sampling method: random Other options: Save Waveforms (Simulation Data). לחץ.OK להפעלת הסימולציה לחץ על החץ הירוק שבצד ימין. דוגמא לפלט סימולציית :monte carlo צפייה בפרמטרים הסטטיסטיים: בלשונית ה- results נוכל לבחון את הנתונים הסטטיסטיים: - נבדוק מהי ההתפלגות של הפרמטרים הרלוונטיים לאוסף הריצות במעגל זה המופיעים בקובצי המודלים. בלשונית ה- results בחר ב- test ולחץ לחצן ימני על שם הטסט ובחר ב-.)Scatter Plot גם )נסה histogram - בעמודה של value סמן את אחד הריצות ולחץ מקש ימני. במקום Outputs בחר statistical.parameters תוכל לראות את הערכים עבור ריצה זו. 21

22 לדוגמא vtnlvmatch הוא פרמטר שמשפיע גם על מתח הסף הכללי- vt של כל טרנזיסטור. בחר בפרמט זה ולחץ על.plot באופן דומה ניתן להציג את histogram של כל יתר הפרמטרים. - נוכל לראות גם כיצד vt מתפלג - במסך ה- calculator לחץ על כפתור )model parameter( mp לחיצה על כפתור זה תחזיר את מסך ה- schematic ותפתח חלון קטן: סמן את טרנזיסטור ה- nmos למשל, בחלון הקטן לחץ על ה- menu drop down ובחר את הפרמטר vth0 ה, vt- של הטרנזיסטור. לחץ.O.K דרך נוספת להצגת התוצאות : בלשונית Outputs Setup הוסף שורה מסוג expr ולחץ על עמודה של Details פעמים. לחץ על הסמן. העתק לחלון שמופיע את הביטוי מה- calculator לדוגמא MP("/M0","vtho"). הרץ את האנליזה. הפלט המתקבל כעת: התקבל גרף היסטוגרמה עבור,vt ניתן לראות בראש הגרף נתונים סטטיסטיים רלוונטיים עבור.vt הגדרת קובץ קלט לסימולציה לעתים יש צורך בהזנת פלט של סימולציה אחת כקלט לסימולציה אחרת. ניתן להגדיר קובץ קלט לסימולציה, היתרון בקובץ מסוג זה הוא עבור קלטים מורכבים שקשה לתכנן באמצעות מקור.vpwl בדוגמא הבאה נשתמש בפלט של סימולציה transient של המהפך signal) (vout כמתח כניסה לסימולציה חדשה. תחילה הרץ את הסימולציה המקורית עם מקור מתח :vpwl 22

23 Vout&Vin vs time כעת במסך ה- ADE Explorer הראשי פתח את.Tools Result browser בחלון הימני הרחב את תיקיית,tran מקש ימני על vout ו- Export. שמור את הקובץ בספרייה שלך, בחר סוג קובץ מסוג,SPECTRE הקובץ שנשמר לשם המחשה נקרא.vout.in כעת יש לערוך את הקובץ בכדי שתוכל להשתמש בו כקובץ קלט. ב- console הקש: nedit vout.in & מחק את השורות הראשונות שלא מכילות נתונים מספריים. פורמט הקובץ צריך להכיל זמן ולידו ערך בכל שורה )ללא פסיק(, את הפסיקים הורד באמצעות תפריט,search replace בשורה למעלה הקש פסיק ובשורה השניה הקש רווח, ב- all replace in לחץ על.window וודא שכל הפסיקים הוסרו. שמור את השינויים וצא מה- editor. כעת במסך ה-,schematic הסר את אובייקט ה- vpwl, הוסף במקומו רכיב,vpwlf לחץ על Q לפתיחת ה- properties.object ב- PWL file name הקש Path מלא ושם הקובץ נתונים שיצרת לדוגמא: /users/epxxxx/cadence/vout.in כעת בעת הרצת המעגל יקראו הנתונים מהקובץ. ADE Assembler ממשק ה- Assembler מאפשר להגדיר יותר מ- test בודד. ניתן גם להגדיר tests עבור תכנונים שונים. לחיצה על הכפתור הימני כאשר הסמן בחלון השמאלי ובחירת Add Test מאפשר הוספת test חדש. 23

24 ADE כאשר עובדים על הגדרות של test מסוים חוזרים לחלון של.ADE Explorer Assembler ניתן להריץ את כל ה- tests ביחד. מ- סימולציות קודמות- History ניתן לצפות בתוצאות של סימולציות קודמות המאוחסנות בדיסק על ידי שימוש בלשונית ה- History שבחלון ה- view.data כמו כן מומלץ למחוק סימולציות ישנות על מנת לשחרר מקום בדיסק על ידי קליק ימני על שם הסימולציה ו- delete. History tab 24

25 שרטוט LAYOUT סעיף זה מתאר בקצרה ציור.LAYOUT יש לבצע את הפקודות הבאות בתפריט הראשי: על מנת לבנות layout חדש תחילה יש ליצור ספריה חדשה באמצעות התפריט הראשי של התוכנה: File New Library בחר שם חדש לספריית ה- layout, ב- File Technology סמן את Attach to an existing.ok לחץ ts018_prim בתפריט הבא בחר את.OK לחץ.Technology Library כעת לחץ על. File->New->Cellview library : project cell name : layout1 (for example) view name : layout Open With : Layout L יפתח חלון שבאמצעותו תוכל לצייר את המעגל שלך. יש ללחוץ על OK לאישור. עתה יופיע חלון לשרטוט,Layout וכן חלון נוסף המהווה את התפריט לשכבות השונות,)LSW( ראה דוגמא בהמשך. חשוב: ראשית עליך לוודא שהסריג איתו אתה עובד מתאים לטכנולוגיה. לדוגמא, עבור תהליך של 0.18u, הרזולוציה של הסריג צריך להיות 0.1u. יש לוודא כי זהו הערך שמופיע ב- )0.1(.X/Y snap ב- spacing Grid Controls תחת Options Display הוספת פוליגון- בוחרים את השכבה הרצויה בחלון.LSW בחלון ה- layout בוחרים בפקודה : Create Shape polygon or Create Shape rectangle ומציירים את הצורה. תחת תפריט Edit קיימות כל הפקודות הרגילות שדרושות לביצוע עריכה כגון: move, delete, stretch, undo ניתן להוסיף רכיבים שעבורם קיים layout כגון טרנסיטורים, לדוגמא נוסיף טרנזיסטור pmos_18 מספריית.ts018_prim באמצעות הקשת shift+f ניתן לראות את השכבות של הטרנזיסטור. בדרך כלל יש לבחור צורה לפני שמבצעים עליה פעולה. בחירת הצורה מתבצעת עם הכפתור השמאלי של העכבר. מרחקים ניתן למדוד בעזרת הפקודה,Tools Create Ruler יש לסמן את נקודת התחלת המדידה ע"י לחיצה בודדת על הכפתור השמאלי בעכבר, 'לגרור' ללא לחיצה את הסרגל עד לנקודה הרצויה ולסיים את המדידה ע"י לחיצה בודדת נוספת על הכפתור השמאלי בעכבר. בסיום פעולת המדידה יש ללחוץ על Esc כדי להמשיך בפעולות אחרות. כדי לבטל את הצגת הסרגלים )אם הם מפריעים( יש לבצע: Tools Clear All Rulers בסיום העריכה עליך לבצע.save דוגמא למסך המתקבל בעת העבודה ב- :Layout 25

26 Among the layers we use: Background - Pwell WN- Nwell CA = diffusion area XN = defines the active area as n+ XN = defines the active area as p+ CG = polysilicon (transistor gate) M1 = Metal1 CS = contact between metal1 and poly or metal1 and active V2 = contact between metal1 and metal2 And many more PTAP NTAP TAPS : חיבורים למצע יש למקם את החיבורים למצע ול- Well באופן ידני. ממקמים ומבצעים חיבור ל- Vdd או gnd בהתאם בעזרת.path לפי הצורך ו- PADS במערכת קיימות מספר ספריות המכילות מסגרות של pads מוכנים או פשוט pads בודדים. צריך לבחור את ה- pads שמתאימות לטכנולוגיה של התכנון שלך: /users/iit/cadence/tsl018b/tsl018b הנמצא ב: tsl108iob ספרית :Tower 0.18u יש להוסיף את שם הספרייה ומיקומה ב-.Tools->Library Path Editor 26

27 ביצוע LVS בדיקה זו משווה בין ה- Layout לסכמה בכל המישורים: התאמת בין שמות הצמתים שקבעתם בשרטוט ה- Layout מול השרטוט הסכמתי. התאמה בחיבוריות שבין האלמנטים. התאמה בערכי הרכיבים )גדלי הטרנזיסטורים, ערכי הקבלים וכו'(. התאמה במספר האלמנטים וסוגיהם.,)- השרטוט הסכמתי: הסרת כל מקורות המתח אם קיימים כאלה )הרי לא ניתן לממש מקור מתח ב Layout במקום מקורות המתח נציב פינים. שרטוט ה- :Layout יש להוסיף את הפינים גם ל-,Layout פינים בעלי אותם שמות שקבענו ב- Schematic )עם אבחנה בין אותיות גדולות וקטנות כולל vdd! ו-,)gnd! באופן הבא: יש לבחור בשכבה )מתפריט השכבות( עליה אנחנו רוצים לסמן את הפין, create pin בחלון ה- Layout יש לבצע: בחלון שנפתח יש לרשום את שם הפין )כמו ב,)Schematic להפעיל את אופציית,display name כעת יש לסמן ע"י העכבר את הפין במקום הרצוי, וכן למקם את השם. )אין חשיבות לגודל או לגיאומטריה של הפין, אלא רק לשכבה בה הוא מצויר(. לאחר הוספת כל הפינים יש לשמור את השרטוט הסופי. הערה: עבור כל שינוי שמבוצע ב- Schematic יש לבצע check and save )ולא רק.)save עבור כל שינוי שמבוצע ב- Layout יש לבצע extract מחדש. בדיקת (Design Rule Check) DRC בעזרת כלי ASSURA עבור Tower18 צור ספריה בשם /tmp/usernamedrc כאשר user הוא שם החשבון שלך. פתח את ה- layout שיצרת. לחץ על.Assura->Run DRC בחלון שנפתח, בחר ב- Technology המתאים, למשל ts18sl_6m1l בשדה Switch Names רשום : לחץ על.OK לחץ על OK גם בחלון Progress שנפתח. בסיום הריצה הכלי ישאל אם ברצונך לראות את הטעויות, לחץ על.YES ניתן לראות את הטעויות השונות ע"י לחיצת על החצים בחלון שנפתח. 3V 6LM CHIP OA (Layout Vs. Schematic) בדיקת LVS 27 בדיקה זו משווה בין ה- Layout לסכמה בכל המישורים: - התאמת בין שמות הצמתים שקבעתם בשרטוט ה- Layout מול השרטוט הסכמתי. - התאמה בחיבוריות שבין האלמנטים. - התאמה בערכי הרכיבים )גדלי הטרנזיסטורים, ערכי הקבלים וכו'(. - התאמה במספר האלמנטים וסוגיהם. פתח את ה- layout שיצרת. - לחץ על Assura->Run LVS - ודא ששדות ה- Schematic Design source וה- Layout Design Source נכונים. - ב- Technology המתאים, למשל ts18sl_6m1l בחלון שנפתח, בחר -

28 - בשדה Switch Names רשום : 6LM OA - לחץ על.OK לחץ על OK גם בחלון Progress שנפתח. - לחץ על.OK - בין שתי ריצות לחץ על.Assura->Close Run - בסיום הריצה, הכלי יציג את השגיאות והאזהרות שהתקבלו, לחיצה על OK תפעיל את ה- LVS.Debug Environment - אם בוחרים שם בשדה Cell List ניתן ללחוץ על האלמנטים השונים ב- summary ולאחר מכן על open tool כלי שמאפשר הצגת הטעויות על הסכמה ועל ה-.layout יצירת RCX Extracted View ה- View Extracted נחוץ על מנת לאפשר הרצת סימולציה על ה- Layout. לפעמים הוא גם עוזר במציאת שגיאות ב- LVS. על מנת להריץ,RCX חובה קודם להריץ.LVS לאחר ביצוע ה- LVS בחר ב- QRC Assura Run ולחץ על OK בחלון שנפתח. בחלון הבא שנפתח בלשונית ה- Setup, רשום בשדה ה- Technology בחר בטכנולוגיה הנכונה. לדוגמא.ts18sl_6M1L לחץ על לשונית ה- Extraction. עבור Extraction Type בחר בסוג הרצוי, לדוגמא C. Only בשדה ref node רשום את צומת היחוס של המעגל,gnd!( vss למשל( לחץ על.OK ניתן לצפות ב- log על ידי לחיצה על.watch log file בתום הריצה יווצר תא מסוג.av_extracted הרצת סימולציה על ה- layout פתח את ה- schematic המכיל את המהפך ומקורות המתח. פתח או צור את סביבת הסימולציה.adexl כעת בחלון ה- editor ADE XL test טען state קיים או הגדר אחד חדש כפי שמוסבר בפרק הסימולציות. נגדיר לסימולטור לעבוד עם תא ה- layout במקום תא ה- schematic של ה- inverter - הקש על.Setup Environment בשורה switch view list הוסף את המילה av_extracted לפני המילה,schematic לחץ OK והרץ את הסימולציה. הסימולציה תרוץ על התא מסוג av_extracted שיצרת. Spice Netlists imports using SpiceIn באמצעות כלי זה ניתן לייבא netlists של CDL, HSpice, Spectre, Spice וליצור מהם.virtuoso -schematics, netlists, symbols views בדוגמא הבאה נייבא את Spice netlist בכדי ליצור.virtuoso schematic קוד לדוגמא : ייבוא הקוד Spice netlist file 28

29 בחלון הראשי של התוכנה לחץ על.File import Spice חלון ה- In Spice יפתח: Spice-In Menu בלשונית ה- input, יש לרשום את שם הקובץ ב-.Netlist File יש לסמן SPICE ב- Netlist.Language ב- List import sub-circuits יש לבחור את שם ה- cell כפי שהוא מופיע בקובץ. ניתן לראות ששם ה- circuit sub בקובץ הוא goelcell )תמיד מופיע אחרי.)subckt הערה - בדוגמא זו יש sub-circuit אחד אך במקרים רבים יהיו תאים רבים וגם היררכיה של תאים. עבור הגדרות מתקדמות יש לעיין ב- manual,virtuoso help יש לחפש בנושא netlist.import using spicein ב- List Reference Library יש להוסיף את ts018_prim ואת.analogLib יש לסמן וי ב- device יש לפרט את מיקום הספרייה בה ישמר ה- schematic. output בלשונית ה-.mapping file יצירת Device Map File הרכיבים )N18,P18 והקבלים שבדוגמא( כפי שהם מוגדרים בקובץ ה- spice אינם מוכרים למערכת ויש לפרט באמצעות קובץ מיפוי את שמות הרכיבים כפי שהם מופיעים בספריות. יש ליצור קובץ חדש באמצעות nedit ולהגדיר עבור כל רכיב את שמו בספרייה באמצעות הפקודה :devselect devselect := N18 nmos_18 devselect := P18 pmos_18 devselect := capacitor cap פקודה זו ממפה לדוגמא את N18 שבקובץ ה- Spice ל- nmos_18 שמופיע בספריית- ts018_prim, החיפוש מתבצע בכל הספריות שפורטו ב- List.Reference Library יש לשמור את השינויים ולטעון בלשונית Device Map את הקובץ )לחיצה על.)File open במידה וכל הרכיבים הוגדרו כראוי, לחיצה על OK תיצור את ה- schematic בספריית ה- output שהוגדרה. שגיאות יוצגו על ידי ה- log. ניתן להגדיר overwrite )בלשונית )overwrite cells במידה וכבר יצרתם schematic וברצונכם "לדרוס" אותו. סימולציית hspiced במסך Test editor של,ADEXL בחר Setup Simulator ובחר ב- hspiced. 29

30 עבור סימולציות hspice לא מוגדרים קבצי מודלים, כלומר המערכת לא מבינה איך מתנהגים הרכיבים ויש להגדיר את התנהגותם בקובץ מודלים. מודלים של רכיבים ניתן למצוא באינטרנט. לדוגמא.BSIM Homepage - Offical Site :BSIM ניתן למצוא קבצי מודלים ב- example.netlists את קובץ המודלים יש להוסיף בתפריט Setup Model libraries של ה- Editor,Test לחיצה על שורה ריקה והוספת ה- path. יש להסיר הפניות לקבצי scs מאחר ואלו קבצי מודלים עבור.spectre דוגמא עבור מעגל המהפך, השתמשנו ברכיבי nmos4 ו- pmos4 שבספריית.analogLib כעת נעשה שימוש בקובצי מודלים מסוג BSIM3 עבור nmos ו- pmos שהורדו מ- שני הקבצים אוחדו לקובץ אחד בשם models.m )לא חובה( ושמות הרכיבים בקובץ שונו לnmos ו- pmos בכדי שיתאימו לשמות הרכיבים במעגל המהפך. הפניה לקובץ נוספה בתפריט.Setup Model libraries כעת ניתן להריץ סימולציות hspice על מעגל המהפך. 30

WinZIP תוכנה לדחיסת קבצים ספטמבר 2007

WinZIP תוכנה לדחיסת קבצים ספטמבר 2007 WinZIP תוכנה לדחיסת קבצים ספטמבר 2007 תשס"ח 2007. כל הזכויות שמורות לאוניברסיטה הפתוחה. בית ההוצאה לאור של האוניברסיטה הפתוחה, רח' רבוצקי 108 ת, "ד 808, רעננה 43107. The Open University of Israel, 108

קרא עוד

ייבוא וייצוא של קבצי אקסל וטקסט

ייבוא וייצוא של קבצי אקסל וטקסט ייבוא וייצוא של קבצי אקסל וטקסט (Importing & Exporting MS Excel Files and Text) ייבוא (Import) הפיכת קובץ טקסט, Excel מבסיס נתונים אחר. או סוגים אחרים, לטבלת,Access או העתקת טבלת Access בתחילת התהליך יש

קרא עוד

PowerPoint Presentation

PowerPoint Presentation פרוטאוס הדרכה לפיתוח פרויקט מבוסס ארדואינו 1 הוראות הפעלה תוכנת פרוטאוס מכילה כמות גדולה מאוד של כלי עזר להבנת דרך ההפעלה של התוכנה. שני מקורות מידע עיקריים עומדים לרשות המשתמש מחוץ לתוכנה: o באתר האינטרנט

קרא עוד

Microsoft Word - Ass1Bgu2019b_java docx

Microsoft Word - Ass1Bgu2019b_java docx ת ר ג י ל 1 ב ק ו ר ס מ ב ו א לתכנות 202.1.9031 JAVA סמסטר ב, ת נ א י ם ו ל ו ל א ו ת תאריך אחרון להגשה בציון מלא : 02.04.19 עד שעה : 23:55, כ ל יום איחור ל א מ א ו ש ר א ו ח ל ק ממנו מודריד 10 נקודות

קרא עוד

סרגל כלים ל-Outlook או לExplorer- מדריך למשתמש

סרגל כלים  ל-Outlook  או לExplorer- מדריך למשתמש סרגל כלים ל- Outlook או ל Explorer- מדריך למשתמש 1 כני ס ה ו י צ יאה מהמערכת לכניסה יש ללחוץ על צלמית "כניסה למע רכת" ליציאה יש ללחוץ פעם נוספת לק בלת הצ למית סרגל כלים לדפד פ ן מסוג Explorer או Firefox

קרא עוד

מרצים יקרים, אתר המכללה מאפשר למרצי המכללה להזין את פרטיהם וקורות חייהם. זאת בדומה לאתרים מקבילים של מוסדות אקדמיים בארץ ובעולם. עמודי המרצים נועדו לא

מרצים יקרים, אתר המכללה מאפשר למרצי המכללה להזין את פרטיהם וקורות חייהם. זאת בדומה לאתרים מקבילים של מוסדות אקדמיים בארץ ובעולם. עמודי המרצים נועדו לא מרצים יקרים, אתר המכללה מאפשר למרצי המכללה להזין את פרטיהם וקורות חייהם. זאת בדומה לאתרים מקבילים של מוסדות אקדמיים בארץ ובעולם. עמודי המרצים נועדו לאפשר למרצי המכללה לפרסם באתר המכללה פרטים אודותיהם )תחומי

קרא עוד

מדריך להתקנת Code Blocks מדריך זה נועד לתאר את תהליך התקנת התוכנה של הקורס "מבוא למחשב שפת C". בקורס נשתמש בתוכנת Code::Blocks עם תוספת )אשף( המתאימה

מדריך להתקנת Code Blocks מדריך זה נועד לתאר את תהליך התקנת התוכנה של הקורס מבוא למחשב שפת C. בקורס נשתמש בתוכנת Code::Blocks עם תוספת )אשף( המתאימה מדריך להתקנת Code Blocks מדריך זה נועד לתאר את תהליך התקנת התוכנה של הקורס "מבוא למחשב שפת C". בקורס נשתמש בתוכנת Code::Blocks עם תוספת )אשף( המתאימה לקורס. האשף קובע את סביבת הפיתוח כך שתתאים לצורכי הקורס.

קרא עוד

Microsoft Word - sync_LG.doc

Microsoft Word - sync_LG.doc LG PC Suite הגדרות וביצוע סנכרון ניתן להתקין את התוכנה מדיסק המצורף לערכה ניתן לסנכרן עד 100 אירועים בלוח שנה ועד 500 אנשי קשר 1 לחץ על איקון Suite" "LG PC בשולחן העבודה 2 להגדרות הסינכרון לחץ על Sync"

קרא עוד

מדריך למרצים ומתרגלים 1

מדריך למרצים ומתרגלים 1 מדריך למרצים ומתרגלים 1 תוכן עניינים מדריך למרצים ומתרגלים...1 קבלת סיסמה לתחנת מידע למרצה...3 הוספת חומרי למידה...6 הוספת מורשה גישה לאתר הוספת מטלה קורס...9 לאתר הקורס...11 בחירת בודקים למטלה...17 מערכת

קרא עוד

(Microsoft Word - SQL\353\351\345\345\365 \341\361\351\361 \360\372\345\360\351\355 \ doc)

(Microsoft Word - SQL\353\351\345\345\365 \341\361\351\361 \360\372\345\360\351\355 \ doc) 01/07/08 כיווץ בסיס נתונים ב MS SQL 2005 מסמך זה סוקר תהליך כיווץ בסיס נתונים ב-.Microsoft SQL Server 2005 תהליך הכיווץ (Shrink), מכווץ את חלקו הלא פעיל (קובץ ה- Log ) של בסיס הנתונים ואינו נוגע בחלקו

קרא עוד

ForMenahelHeshbonot

ForMenahelHeshbonot מנה"ח לכל מומלץ הנהלת החשבונות של בינה מודול הנחיות עבור ריכוז מודול הנהלת החשבונות של בינה שונה בתפיסת עולמו ממודולים דומים בתוכנות הנהלת חשבונות. בתפיסת עולם זו, הנהלת החשבונות היא פועל יוצא של הפעילות

קרא עוד

Office 365 ProPlus בחינם לסטודנטים באוניברסיטת בן גוריון בנגב הוראות סטודנטים באוניברסיטת בן גוריון בנגב יכולים להוריד ולהתקין את חבילת התוכנה Office

Office 365 ProPlus בחינם לסטודנטים באוניברסיטת בן גוריון בנגב הוראות סטודנטים באוניברסיטת בן גוריון בנגב יכולים להוריד ולהתקין את חבילת התוכנה Office Office 365 ProPlus בחינם לסטודנטים באוניברסיטת בן גוריון בנגב הוראות סטודנטים באוניברסיטת בן גוריון בנגב יכולים להוריד ולהתקין את חבילת התוכנה Office 365 ProPlus בחינם. ניתן להוריד ולהתקין את הגרסאות הבאות:

קרא עוד

הוספת קישור לאתר אינטרנט תוכן ממשק בדיקת מטלות...3 איחוד אתרי קורסים...5 סל מחזור... 7 חידושים בפעילויות...8 תצורת קורס: כפתורים... 9 פורומים...10 שיפ

הוספת קישור לאתר אינטרנט תוכן ממשק בדיקת מטלות...3 איחוד אתרי קורסים...5 סל מחזור... 7 חידושים בפעילויות...8 תצורת קורס: כפתורים... 9 פורומים...10 שיפ הוספת קישור לאתר אינטרנט תוכן ממשק בדיקת מטלות...3 איחוד אתרי קורסים...5 סל מחזור... 7 חידושים בפעילויות...8 תצורת קורס: כפתורים... 9 פורומים...10 שיפורים נוספים... 11 1 Moodle חדש במערכת ה- מערכת מודל

קרא עוד

הנחיות הורדה ותפעול לספרים דיגיטלים. הוצאת כנרת, זמורה ביתן שמחה להגיש לכם, התלמידים, ספר דיגיטלי. הספרים עצמם הינם בקבצי PDF הניתנים להורדה ללא עלות

הנחיות הורדה ותפעול לספרים דיגיטלים. הוצאת כנרת, זמורה ביתן שמחה להגיש לכם, התלמידים, ספר דיגיטלי. הספרים עצמם הינם בקבצי PDF הניתנים להורדה ללא עלות הנחיות הורדה ותפעול לספרים דיגיטלים. הוצאת כנרת, זמורה ביתן שמחה להגיש לכם, התלמידים, ספר דיגיטלי. הספרים עצמם הינם בקבצי PDF הניתנים להורדה ללא עלות וללא צורך ברישום לאתר למשתמשי סדרת פשוט חשבון. בספרים:

קרא עוד

<4D F736F F D20F4E9E6E9F7E420FAF8E2E5ED20ECF2E1F8E9FA20E4E2E4E420F1E5F4E9FA20496C616E2E646F63>

<4D F736F F D20F4E9E6E9F7E420FAF8E2E5ED20ECF2E1F8E9FA20E4E2E4E420F1E5F4E9FA20496C616E2E646F63> מתקף ותנע מבוא תרשים 1 כשמפעילים מתקף על גוף כלשהו, התנע שלו משתנה. שינוי התנע שווה למתקף, שהוא השטח מתחת לגרף הכוח כתלות בזמן: Δp = F dt 51 m v m v1 = dt 2 F כאשר F הוא הכוח המופעל על הגוף, p הוא השינוי

קרא עוד

הטכניון מכון טכנולוגי לישראל אלגוריתמים 1 )443432( סמסטר חורף הפקולטה למדעי המחשב תרגול 9 מסלולים קלים ביותר תרגיל APSP - 1 עד כה דנו באלגור

הטכניון מכון טכנולוגי לישראל אלגוריתמים 1 )443432( סמסטר חורף הפקולטה למדעי המחשב תרגול 9 מסלולים קלים ביותר תרגיל APSP - 1 עד כה דנו באלגור תרגול 9 מסלולים קלים ביותר תרגיל APSP - 1 עד כה דנו באלגוריתמים לפתרון בעית מסלולים קלים מציאת מסלולים קלים ביותר מצומת ביותר ממקור יחיד. כלומר, V לכל צמתי הגרף. בעיה אחרת הקשורה לבעיה זו היא בעית ה-(

קרא עוד

2013/14 אוניברסיטת חיפה מבוא למדעי מחשב, מעבדה מטרת המעבדה: לתרגל את המעבר מאלגוריתם לקוד C כמה שיותר. הוראות:.1.2 ניתן לעבוד ביחידים או בזוגות. (יש מ

2013/14 אוניברסיטת חיפה מבוא למדעי מחשב, מעבדה מטרת המעבדה: לתרגל את המעבר מאלגוריתם לקוד C כמה שיותר. הוראות:.1.2 ניתן לעבוד ביחידים או בזוגות. (יש מ מטרת המעבדה: לתרגל את המעבר מאלגוריתם לקוד C כמה שיותר. הוראות:.1.2 ניתן לעבוד ביחידים או בזוגות. (יש מספיק עמדות לכולם ולכן מומלץ לעבוד ביחידים). במהלך המעבדה יהיה עליכם לבצע משימות. אם תצטרכו עזרה בשלב

קרא עוד

מצגת של PowerPoint

מצגת של PowerPoint מהי סביבת איקס לימוד? סביבת איקס לימוד היא סביבה גמישה לתרגול היכולת לזכור ולהיזכר במושגים ועובדות מתחומי תוכן שונים על ידי התאמה. הסביבה מבוססת על המשחק 'איקס עיגול' והתוכן אותו מתרגלים יכול מסוג טקסט

קרא עוד

Microsoft Word - tips and tricks - wave 5.doc

Microsoft Word - tips and tricks - wave 5.doc - טיפים וטריקים Samsung S8500 Wave שקע אוזניות רמקול שקע כניסת USB חיישן תאורה מצלמה קדמית מקש נעילה לחצני הגברת / הנמכת השמע מקש המצלמה מקש SEND מקש,END כיבוי / הדלקה מקש התפריט 1 תפעול כללי < הקש את

קרא עוד

Microsoft Word IG Lab - Configure Wireless Router in Windows Vista.docx

Microsoft Word IG Lab - Configure Wireless Router in Windows Vista.docx עיקרי טכנולוגיות המידע 5.0 6.8.3.9 מעבדה תצורת ראוטר ללא כבלים Router) (Wireless בחלונות ויסטה (Windows Vista) מבוא הדפס והשלם מעבדה זו. במעבדה זו, יהיה עליך להגדיר ולבחון את ההגדרות האלחוטיות על ה.Linksys

קרא עוד

מדריך להתחלה מהירה Microsoft Project 2013 נראה שונה מגירסאות קודמות, ולכן יצרנו מדריך זה כדי לעזור לך ללמוד להכיר אותו. סרגל הכלים לגישה מהירה התאם אי

מדריך להתחלה מהירה Microsoft Project 2013 נראה שונה מגירסאות קודמות, ולכן יצרנו מדריך זה כדי לעזור לך ללמוד להכיר אותו. סרגל הכלים לגישה מהירה התאם אי מדריך להתחלה מהירה Microsoft Project 2013 נראה שונה מגירסאות קודמות, ולכן יצרנו מדריך זה כדי לעזור לך ללמוד להכיר אותו. סרגל הכלים לגישה מהירה התאם אישית את האזור הזה כדי שהפקודות המועדפות עליך יהיו תמיד

קרא עוד

PowerPoint Presentation

PowerPoint Presentation תוכנה 1 תרגול 1: סביבת העבודה ומבוא ל- Java אלכסיי זגלסקי ויעל אמסטרדמר 1 בירוקרטיה אלכסיי זגלסקי שעת קבלה: שני 13:00-14:00, בתיאום מראש משרד: בניין הנדסת תוכנה, חדר 209 יעל אמסטרדמר שעת קבלה: חמישי 15:00-16:00,

קרא עוד

משימה תכנית המתרגמת קטעי טקסט לשפה אחרת הקלט: קובץ המכיל את קטעי הטקסט וכן את השפה אליה רוצים לתרגם תרגול מס' 4: המתרגם שימוש במחלקות קיימות תכנות מתק

משימה תכנית המתרגמת קטעי טקסט לשפה אחרת הקלט: קובץ המכיל את קטעי הטקסט וכן את השפה אליה רוצים לתרגם תרגול מס' 4: המתרגם שימוש במחלקות קיימות תכנות מתק משימה תכנית המתרגמת קטעי טקסט לשפה אחרת הקלט: קובץ המכיל את קטעי הטקסט וכן את השפה אליה רוצים לתרגם תרגול מס' 4: המתרגם שימוש במחלקות קיימות 2 הפשטה שאלות כצעד ראשון נפתור בעיה הרבה יותר פשוטה האם כבר

קרא עוד

ת'' מדריך לבעלי תיבה קיימת במופ ומשתמשים ב Outlook 2003 או doc.2007 לפני שניגש להגדיר את תיבת המייל החדשה, נבצע גיבויי של המיילים ופנקס הכתובות מהחשבו

ת'' מדריך לבעלי תיבה קיימת במופ ומשתמשים ב Outlook 2003 או doc.2007 לפני שניגש להגדיר את תיבת המייל החדשה, נבצע גיבויי של המיילים ופנקס הכתובות מהחשבו ת'' מדריך לבעלי תיבה קיימת במופ ומשתמשים ב Outlook 2003 או doc.2007 לפני שניגש להגדיר את תיבת המייל החדשה, נבצע גיבויי של המיילים ופנקס הכתובות מהחשבון הקודם )ייצוא וייבוא כפי שמצוין מטה(. תהליך זה ימשוך

קרא עוד

פקולטה: מחלקה: שם הקורס: קוד הקורס: מדעי הטבע מדעי המחשב ומתמטיקה מתמטיקה בדידה תאריך בחינה: _ 07/07/2015 משך הבחינה: 3 שעות סמ' _ב' מועד

פקולטה: מחלקה: שם הקורס: קוד הקורס: מדעי הטבע מדעי המחשב ומתמטיקה מתמטיקה בדידה תאריך בחינה: _ 07/07/2015 משך הבחינה: 3 שעות סמ' _ב' מועד פקולטה: מחלקה: שם הקורס: קוד הקורס: מדעי הטבע מדעי המחשב ומתמטיקה מתמטיקה בדידה 2-7012610-3 תאריך בחינה: _ 07/07/2015 משך הבחינה: 3 שעות סמ' _ב' מועד ב' שם המרצה: ערן עמרי, ענת פסקין-צ'רניאבסקי חומר עזר:

קרא עוד

תורת הקומפילציה

תורת הקומפילציה תורת הקומפילציה תרגיל בית 2 הוראות לתרגיל 2 בשפת MINI-PASCAL הפרוייקט המצורף הינו קוד שלד של מהדר לשפת mini-pascal עליכם לממש בסביבת (Eclipse (Java את הפונקציות המתאימות לפי החומר שנלמד בהרצאה ע"מ שהמהדר

קרא עוד

HTML - Hipper Text Makeup Language

HTML - Hipper Text Makeup Language תכנות בסביבת האינטרנט 1 תיבת טקסט טופס הטופס הוא הדרך של בעלי האתר לקבל משוב מהגולשים, מאפשר לגולש להתחבר לאתר כחבר, מאפשר לבצע רכישות באתרי קניות וכד'. כשהגולש ממלא את הטופס, מועבר תוכן הטופס לדף מיוחד

קרא עוד

פקולטה לחינוך מנהל סטודנטים Beit Berl College الكلية االكاديمية بيت بيرل 20/06/2016 י"ד/סיון/תשע"ו ייעוץ וירטואלי הרכבת מערכת )רישום לקורסים( באמצעות

פקולטה לחינוך מנהל סטודנטים Beit Berl College الكلية االكاديمية بيت بيرل 20/06/2016 יד/סיון/תשעו ייעוץ וירטואלי הרכבת מערכת )רישום לקורסים( באמצעות 20/06/2016 י"ד/סיון/תשע"ו ייעוץ וירטואלי הרכבת מערכת )רישום לקורסים( באמצעות האינטרנט שלום רב, לנוחותכם, הרכבת המערכת לשנה"ל תשע"ז תתבצע באמצעות האינטרנט ייעוץ וירטואלי. הרכבת המערכת )רישום לקורסים( תעשה

קרא עוד

מיכפל

מיכפל מיכפל 0222 הוראות התקנה למהדורה 9.78 במהדורה 78.9 בוצעו מספר שינויים טכנולוגיים: שדרוג התוכנה ליצירת דוחות בפורמט PDF שינויים בטכנולוגיית השידור של טופס 102 לביטוח הלאומי במהלך עדכון גרסה זו תתקבלנה מספר

קרא עוד

אגף התקשוב, אוניברסיטת בר אילן קורס תוכנת מטלב ויישומיה ד"ר סמדר שץ מימוש ממשק משתמש ע"י GUIDE קורס תוכנת מטלב ויישומיה שיעור מס' 12: שימוש ב- GUIDE -

אגף התקשוב, אוניברסיטת בר אילן קורס תוכנת מטלב ויישומיה דר סמדר שץ מימוש ממשק משתמש עי GUIDE קורס תוכנת מטלב ויישומיה שיעור מס' 12: שימוש ב- GUIDE - מימוש ממשק משתמש ע"י GUIDE שיעור מס' 12: שימוש ב- GUIDE - GUIDE ראשי תיבות של - GUI Design Environment הוא כלי ליצירה חצי-אינטראקטיבית של ממשקי-משתמש. תהליך העבודה עם GUIDE הפעלת GUIDE ליצירת ממשק חדש

קרא עוד

תוכן העניינים: פרק צמצומים ומימושים של פונקציות בוליאניות... 2 צמצומים של פונקציות באמצעות מפת קרנו:...2 שאלות:... 2 תשובות סופיות:... 4 צמצום

תוכן העניינים: פרק צמצומים ומימושים של פונקציות בוליאניות... 2 צמצומים של פונקציות באמצעות מפת קרנו:...2 שאלות:... 2 תשובות סופיות:... 4 צמצום תוכן העניינים: פרק 2 3 צמצומים ומימושים של פונקציות בוליאניות 2 צמצומים של פונקציות באמצעות מפת קרנו: 2 שאלות: 2 תשובות סופיות: 4 צמצום באמצעות שיטת 6:QM שאלות: 6 תשובות סופיות: 7 מימושים בעזרת פונקציות

קרא עוד

תוכן העניינים

תוכן העניינים סימטרון ישראל מרכז ההדרכה CimatronE 7.0 תכנון היברידי תלת מימדי חוברת הדרכה ותרגילים מס' 1 2006 ינואר נכתב ונערך ע"י איתן רווה תוכן העניינים פרק 1 מבוא לתוכנת... CimatronE 5 מבוא...5 מסך הפתיחה של 6...CimatronE

קרא עוד

Microsoft Word - I900-Tips_and_Tricks

Microsoft Word - I900-Tips_and_Tricks טיפים וטריקים Samsung I900 Omnia מקש כיבוי / הדלקה שקע ריסט מצלמה קדמית מקש מיוחד מקש הגברת / הנמכת השמע שקע הטענה / אוזניות מקש סיבוב מסך מקש ניתוק שיחה מקש מענה שיחה מקש ניווט 1 תפעול כללי מעבר בין פרופילים

קרא עוד

שאלהIgal : מערכים דו מימדיים רקורסיה:

שאלהIgal : מערכים דו מימדיים רקורסיה: אוניברסיטת בן גוריון בנגב מספר נבחן : תאריך המבחן: כ"ג חשון תשע"ח 12/11/17 שמות המורים: ציון סיקסיק א' ב- C תכנות מבחן ב: 202-1-9011 מס' הקורס : הנדסה מיועד לתלמידי : ב' מועד קיץ סמ' שנה תשע"ז 3 שעות משך

קרא עוד

מסע מדע ו - מסע ברכב שטח ביבשות רחוקות

מסע מדע ו - מסע ברכב שטח ביבשות רחוקות הנחיות הורדה ותפעול לספרים דיגיטלים. הוצאת כנרת, זמורה ביתן שמחה להגיש לכם, התלמידים, ספר דיגיטלי. הספרים עצמם הינם בקבצי PDF הניתנים להורדה ללא עלות וללא צורך ברישום לאתר למשתמשי סדרת פשוט חשבון. בספרים:

קרא עוד

שאלה 2. תכנות ב - CShell

שאלה 2. תכנות ב - CShell ביה"ס למדעי המחשב 4.2.2018 האקדמית נתניה מבחן מועד א' יסודות מערכות פתוחות סמסטר חורף, תשע"ח משך המבחן: שלוש וחצי שעות. יש לענות על כל השאלות. מותר השימוש בחומר עזר כלשהו, פרט למחשבים, (מחשבונים מותר).

קרא עוד

תרגול מס' 4: המתרגם שימוש במחלקות קיימות מחרוזות, קבצים, וקבלת קלט מהמשתמש

תרגול מס' 4: המתרגם שימוש במחלקות קיימות מחרוזות, קבצים, וקבלת קלט מהמשתמש תרגול מס' 4: המתרגם שימוש במחלקות קיימות מחרוזות, קבצים, וקבלת קלט מהמשתמש המתרגם משימה: תכנית המתרגמת קטעי טקסט לשפה אחרת הקלט: קובץ המכיל את קטעי הטקסט וכן את השפה אליה רוצים לתרגם 2 שאלות האם כבר יש

קרא עוד

Algorithms Tirgul 1

Algorithms Tirgul 1 - מעגלי אוילר ומסלולי אוילר תרגול 1 חידה: האם אפשר לצייר את הציורים הבאים בלי להרים את העיפרון מהנייר? 1 קצת אדמיניסטרציה אופיר פרידלר ophir.friedler@gmail.com אילן כהן - ilanrcohen@gmail.com שעות קבלה

קרא עוד

Microsoft PowerPoint - Lecture1

Microsoft PowerPoint - Lecture1 Computer Organization and Programming ארגון ותכנו ת המחשב - את"מ הרצאה מבוא 2 שפה עילית מול שפ ת מ כונה שפה עילית language) (High level שפת מכונה Language) (Machine תכנית בשפ ה עיל ית (C, Pascal, ) תכנית

קרא עוד

פרויקט שורשים דמות

פרויקט שורשים דמות פרויקט שורשים דמות בחייכם אודות דמות פרק זה בעבודת השורשים יכלול מידע אודות הדמות שנבחרה מצד ההורים. הפרק יכיל תקציר רגיל ]רשות[ או מעוצב ]רשות[, טקסט ]חובה[, תמונות ]רשות אבל ]רשות [. רצוי מאוד[, אלבום-מצגת

קרא עוד

שבוע 4 סינטקס של HACK ASSEMBLY ניתן להשתמש בשלושה אוגרים בלבד:,A,D,M כולם בעלי 16 ביטים. M אינו אוגר ישיר- הוא מסמן את האוגר של ה RAM שאנחנו מצביעים ע

שבוע 4 סינטקס של HACK ASSEMBLY ניתן להשתמש בשלושה אוגרים בלבד:,A,D,M כולם בעלי 16 ביטים. M אינו אוגר ישיר- הוא מסמן את האוגר של ה RAM שאנחנו מצביעים ע שבוע 4 סינטקס של HACK ASSEMBLY ניתן להשתמש בשלושה אוגרים בלבד:,A,D,M כולם בעלי 16 ביטים. M אינו אוגר ישיר- הוא מסמן את האוגר של ה RAM שאנחנו מצביעים עליו כרגע )A מצביע עליו(. יש שני סוגי פקודות, פקודת

קרא עוד

מדריך לחיפוש במאגר JCR Journal Citation Reports מעודכן לדצמבר 2015 כל הזכויות שמורות לתחום היעץ, אוניברסיטת חיפה, הספריה

מדריך לחיפוש במאגר JCR Journal Citation Reports מעודכן לדצמבר 2015 כל הזכויות שמורות לתחום היעץ, אוניברסיטת חיפה, הספריה מדריך לחיפוש במאגר JCR Journal Citation Reports מעודכן לדצמבר 2015 כל הזכויות שמורות לתחום היעץ, אוניברסיטת חיפה, הספריה תוכן עניינים........................ )Journal Citations Reports( JCR מדד ההשפעה

קרא עוד

PowerPoint Presentation

PowerPoint Presentation תוכנה 1 תרגול 1: סביבת העבודה ומבוא ל- Java 1 מנהלות אתר הקורס: http://courses.cs.tau.ac.il/software1/1516b/ מתרגלים: ברית יונגמן )שעת קבלה: שלישי ב- 8:00 בתיאום מראש( לנה דנקין )שעת קבלה: שלישי ב- 17:00,

קרא עוד

פתרון מוצע לבחינת מה"ט ב_שפת c מועד ב אביב תשע"ט, אפריל 2019 מחברת: גב' זהבה לביא, מכללת אורט רחובות שאלה מספר 1 מוגדרת מחרוזת המורכבת מהספרות 0 עד 9.

פתרון מוצע לבחינת מהט ב_שפת c מועד ב אביב תשעט, אפריל 2019 מחברת: גב' זהבה לביא, מכללת אורט רחובות שאלה מספר 1 מוגדרת מחרוזת המורכבת מהספרות 0 עד 9. פתרון מוצע לבחינת מה"ט ב_שפת c מועד ב אביב תשע"ט, אפריל 2019 מחברת: גב' זהבה לביא, מכללת אורט רחובות שאלה מספר 1 מוגדרת מחרוזת המורכבת מהספרות 0 עד 9. הדפסה ראשונה: מתבצעת לולאה שרצה מאפס עד אורך המחרוזת.

קרא עוד

תכנות מונחה עצמים א' – תש"ע

תכנות מונחה עצמים א' –  תשע 1 תכנות מונחה עצמים והנדסת תוכנה תשע"ו 2 בנאי העתקה בניית העתק של אובייקט קיים. בניית העתק בעת העברת אובייקט לפונקציה. בניית העתק בעת החזרת אובייקט מפונקציה. ניתן להגדיר בנאי העתקה. אם לא מגדירים, אז הקומפיילר

קרא עוד

T01-1page

T01-1page מבוא לשפת C תירגול 1: מבוא 1 אתר הקורס webcourse.cs.technion.ac.il/234112 חדשות הקורס תרגילי בית הרצאות ותירגולים חומר עזר, מבחנים קודמים, שאלות נפוצות, ועוד... 2 אתר הקורס 3 רשימת תפוצה חובה להירשם! הודעות

קרא עוד

מהוא לתכנות ב- JAVA מעבדה 3

מהוא לתכנות ב- JAVA מעבדה 3 מבוא לתכנות ב- JAVA מעבדה 3 נושאי התרגול לולאות ניפוי שגיאות לולאות - הקדמה כיצד הייתם כותבים תוכנית שתדפיס את המספרים השלמים בין 1 ל- 100 בעזרת הכלים שלמדתם עד עתה? חייבת להיות דרך אחרת מאשר לכתוב 100

קרא עוד

מבחן חוזר במכניקה 55 א יא יח""ללח פתור 3 מהשאלות 1-5 לכל שאלה 33%. חומר עזר מותר מחשבון ונוסחאון של בגרות. v m sec משך הבחינה 105 דקות. שאלה מספר 1 4

מבחן חוזר במכניקה 55 א יא יחללח פתור 3 מהשאלות 1-5 לכל שאלה 33%. חומר עזר מותר מחשבון ונוסחאון של בגרות. v m sec משך הבחינה 105 דקות. שאלה מספר 1 4 מבחן חוזר במכניקה 55 א יא יח""ללח פתור 3 מהשאלות 1-5 לכל שאלה 33%. חומר עזר מותר מחשבון ונוסחאון של בגרות. v sec משך הבחינה 105 דקות. שאלה מספר 1 4 גוף נע לאורך ציר X כך שברגע. x הוא נמצא 0 t 0-10 16 19

קרא עוד

שיעור מס' 6 – סבולות ואפיצויות

שיעור מס' 6 – סבולות ואפיצויות שיעור מס' 6 סבולות ואפיצויות Tolerances & Fits Tolerances חלק א' - סבולות: כידוע, אין מידות בדיוק מוחלט. כאשר אנו נותנים ליצרן חלק לייצר ונותנים לו מידה כלשהי עלינו להוסיף את תחום הטעות המותרת לכל מידה

קרא עוד

מבוא לאסמבלי

מבוא לאסמבלי 1 ברק גונן תוכנית שבנויה מחלקי קוד נפרדים המשולבים זה בזה מאפיינים: נקודת כניסה אחת נקודת יציאה אחת מבצעים פעולה מוגדרת נקראים פרוצדורות ברק גונן 2 קוד קצר יותר לא צריך לחזור על חלקי קוד שאלה למחשבה: האם

קרא עוד

Microsoft PowerPoint - meli-iso.ppt

Microsoft PowerPoint - meli-iso.ppt דגשים לעבודה עם פרוטוקול ISOILL בהשאלה בין-ספרייתית יוסי ט יסו נה המסלול האק דמי ה מכללה למי נהל יום הדרכה של מאלי בנושא השאלה והשאלה בין-ספרייתית יולי 2010 - אוניברסיטת בן-גוריון בנגב פרוטוקול ISOILL

קרא עוד

ניסוי 4 מעגל גילוי אור והפעלת נורה מטרות הניסוי שילוב נגד רגיש לאור (LDR) ודפ"א (LED) להפעלתה מתחת לרמת אור מסוימת. שילוב פוטו דיודה לגילוי אור והפעלת

ניסוי 4 מעגל גילוי אור והפעלת נורה מטרות הניסוי שילוב נגד רגיש לאור (LDR) ודפא (LED) להפעלתה מתחת לרמת אור מסוימת. שילוב פוטו דיודה לגילוי אור והפעלת ניסוי 4 מעגל גילוי אור והפעלת נורה מטרות הניסוי שילוב נגד רגיש לאור (LDR) ודפ"א (LED) להפעלתה מתחת לרמת אור מסוימת. שילוב פוטו דיודה לגילוי אור והפעלת.LED מדידת מתחים וזרמים בטרנזיסטור, וזיהוי מצב הפעולה

קרא עוד

BIG DATA תיאור הקורס המונח Big Data הולך וצובר תאוצה בשנים האחרונות, הוא הופך למגמה רווחת בתעשייה. המשמעות הפרקטית של המונח Big Data הינה טכנולוגיות נ

BIG DATA תיאור הקורס המונח Big Data הולך וצובר תאוצה בשנים האחרונות, הוא הופך למגמה רווחת בתעשייה. המשמעות הפרקטית של המונח Big Data הינה טכנולוגיות נ BIG DATA תיאור הקורס המונח Big Data הולך וצובר תאוצה בשנים האחרונות, הוא הופך למגמה רווחת בתעשייה. המשמעות הפרקטית של המונח Big Data הינה טכנולוגיות ניתוח וניהול מאגרי מידע בעלי נתונים שאינם מאורגנים,

קרא עוד

Slide 1

Slide 1 מבוא למדעי המחשב תירגול 1: מבוא 1 אתר הקורס webcourse.cs.technion.ac.il/234114 חדשות הקורס תרגילי בית חומר עזר, מבחנים קודמים, שאלות נפוצות, ועוד... הרצאות ותירגולים 2 אתר הקורס 3 רשימת תפוצה חובה להירשם!

קרא עוד

מבחן סוף סמסטר מועד א 15/02/08 מרצה אחראית: דר שירלי הלוי גינסברג מתרגלים: גלעד קותיאל, דניאל גנקין הוראות: א. בטופס המבחן 7 עמודים ו 4 דפי נוסחאות. ב

מבחן סוף סמסטר מועד א 15/02/08 מרצה אחראית: דר שירלי הלוי גינסברג מתרגלים: גלעד קותיאל, דניאל גנקין הוראות: א. בטופס המבחן 7 עמודים ו 4 דפי נוסחאות. ב מבחן סוף סמסטר מועד א 15/02/08 מרצה אחראית: דר שירלי הלוי גינסברג מתרגלים: גלעד קותיאל, דניאל גנקין הוראות: א. בטופס המבחן 7 עמודים ו 4 דפי נוסחאות. בדקו שכל העמודים ברשותכם. ב. משך המבחן שלוש שעות (180

קרא עוד

מצגת של PowerPoint

מצגת של PowerPoint מבוא כללי לתכנות ולמדעי המחשב תרגול מס' 1 דין שמואל dshmuel110@gmail.com 1 1. מנהלות מרצה: אמיר רובינשטיין, amirr@tau.ac.il שעות קבלה: לשאלות קצרות - מייד לאחר השיעור. ניתן לתאם במייל שעות אחרות. מתרגל:

קרא עוד

סדנת תכנות ב C/C++

סדנת תכנות ב   C/C++ פקולטה: מדעי הטבע מחלקה: מדעי המחשב שם הקורס: מבוא למחשבים ושפת C קוד הקורס: 2-7028510 תאריך בחינה: 15.2.2017 משך הבחינה: שעתיים שם המרצה: ד"ר אופיר פלא חומר עזר: פתוח שימוש במחשבון: לא הוראות כלליות:

קרא עוד

<4D F736F F D20FAE5F1F4FA20ECE7E5E5FA20E3F2FA20ECE2E1E920E3E9F1F720FAEEE5F0E5FA20E6E9F8FA20E4F8F6E72E646F63>

<4D F736F F D20FAE5F1F4FA20ECE7E5E5FA20E3F2FA20ECE2E1E920E3E9F1F720FAEEE5F0E5FA20E6E9F8FA20E4F8F6E72E646F63> טי.וי.קליפ אולפן עריכה והקלטה לוידאו וסאונד שירותים מיוחדים לתחום החקירות והמשפט ניתוח וידאו וסאונד חוות דעת מקצועית טלפון : 09-7663465, פקס': 054-4212424, 077-4213465 נייד : רחוב הכיכר 4 (קניון הכיכר)

קרא עוד

ISI

ISI ISI - Web of Knowledge דצמבר 2007 המאגר ה - Knowledge ISI Web of הוא כלי מחקר רב תכליתי המאפשר גישה איכותית למידע אקדמי. הגישה למאגר פתוחה למנויים בלבד ומכל מחשב המחובר לרשת האוניברסיטה. כניסה למאגר ה

קרא עוד

דוגמאות שהוצגו בהרצאה 10 בקורס יסודות מערכות פתוחות דוגמה 1 דוגמאות של פונקציות ב- awk שמראות שהעברת פרמטרים של משתנים פשוטים היא by value והעברת פרמט

דוגמאות שהוצגו בהרצאה 10 בקורס יסודות מערכות פתוחות דוגמה 1 דוגמאות של פונקציות ב- awk שמראות שהעברת פרמטרים של משתנים פשוטים היא by value והעברת פרמט דוגמאות שהוצגו בהרצאה 10 בקורס יסודות מערכות פתוחות דוגמה 1 דוגמאות של פונקציות ב- awk שמראות שהעברת פרמטרים של משתנים פשוטים היא by value והעברת פרמטרים של מערכים היא by reference וכן דוגמאות שמראות שמשתנים

קרא עוד

מקביליות

מקביליות תכונות שמורה Invariant Properties גרא וייס המחלקה למדעי המחשב אוניברסיטת בן-גוריון 2 בדיקות מודל Checking( )Model מערכת דרישות מידול פירמול בדיקות מודל )Model Checking( מודל של המערכת תכונות פורמליות סימולציה

קרא עוד

Microsoft Word ACDC à'.doc

Microsoft Word ACDC à'.doc דו"ח מסכם בניסוי: AC/DC חלק: א' סמסטר ב' תשס"א שם הבודק : תאריך הבדיקה: I שם מדריך הניסוי (שם מלא): סרגיי ציון הדו"ח: II תאריך ביצוע הניסוי: 14/05/001 תאריך הגשת הדו"ח: 1/05/001 הדו"ח מוגש על ידי: II I

קרא עוד

PowerPoint Presentation

PowerPoint Presentation מבוא למדעי המחשב תירגול 2: מבוא לתיכנות ב- C 1 תוכנייה משתנים קלט/פלט משפטי תנאי מבוא למדעי המחשב מ' - תירגול 3 2 משתנים 3 משתנים- תמונת הזיכרון הזיכרון כתובת התא #1000 10-4 לא מאותחל 67 לכל תא בזיכרון

קרא עוד

הטכניון מכון טכנולוגי לישראל הפקולטה למדעי המחשב הוראות הגשה: ההגשה בזוגות. הוסיפו שמות, ת.ז., אי-מייל, תא אליו יש להחזיר את התרגיל ואת תשובותיכם לתרג

הטכניון מכון טכנולוגי לישראל הפקולטה למדעי המחשב הוראות הגשה: ההגשה בזוגות. הוסיפו שמות, ת.ז., אי-מייל, תא אליו יש להחזיר את התרגיל ואת תשובותיכם לתרג הטכניון מכון טכנולוגי לישראל הפקולטה למדעי המחשב הוראות הגשה: ההגשה בזוגות. הוסיפו שמות, ת.ז., אי-מייל, תא אליו יש להחזיר את התרגיל ואת תשובותיכם לתרגיל, הדפיסו והגישו לתא הקורס בקומה. מבנה מחשבים ספרתיים

קרא עוד

ex1-bash

ex1-bash ביה"ס למדעי המחשב סמסטר חורף תשע"ח 13.12.2017 יסודות מערכות פתוחות פתרון תרגיל מס' 7 המכללה האקדמית נתניה שימו לב: כל ההערות שבתחילת תרגילים 1-6 תקפות גם לתרגיל זה. הערה 1: החל מתרגיל זה והלאה, בכל פעם

קרא עוד

DCA & A/B Testing

DCA & A/B Testing כלים מתקדמים לבדיקת קריאייטיב בפייסבוק Yan (Yanko) Kotliarsky Digital Marketing Strategist www.yanyanko.com @social.yanko Split Test (A/B Testing) Split Test (A/B Testing) עד לא מזמן, כדי לענות על השאלות

קרא עוד

תשובות מלאות לבחינת הבגרות במתמטיקה מועד ג' תשע"ד, מיום 0/8/0610 שאלונים: 313, מוצע על ידי בית הספר לבגרות ולפסיכומטרי של אבירם פלדמן שאלה מספר

תשובות מלאות לבחינת הבגרות במתמטיקה מועד ג' תשעד, מיום 0/8/0610 שאלונים: 313, מוצע על ידי בית הספר לבגרות ולפסיכומטרי של אבירם פלדמן שאלה מספר תשובות מלאות לבחינת הבגרות במתמטיקה מועד ג' תשע"ד, מיום 0/8/0610 שאלונים: 313, 635863 מוצע על ידי בית הספר לבגרות ולפסיכומטרי של אבירם פלדמן שאלה מספר 1 נתון: 1 תלמיד קנה 11 מחברות דקות ו- 4 מחברות עבות,

קרא עוד

התגוננות בפני כוחות האופל

התגוננות בפני כוחות האופל ניהול ידע אישי על רגל אחת 1 סוגי ידע Explicit גלוי Tacit סמוי 5 מה אמר השועל לנסיך הקטן? מה קורה בבתי ספר כשמורים או מנהלים עוזבים? 8 ניהול ידע במערכת החינוך רמת התלמיד )ניהול ידע אישי( רמת המורה )ניהול

קרא עוד

Microsoft Word - ניספח_8.doc

Microsoft Word - ניספח_8.doc ניסוי 8: מעגלי ישור וסינון איור 3.1: מעגל יישור חד-דרכי איור 3.: מעגל יישור דו-דרכי איור 3.3: מעגל יישור חד-דרכי עם מסנן קיבולי איור 3.4: מעגל יישור דו-דרכי עם מסנן קיבולי 1 התקנים חשמליים רבים זקוקים

קרא עוד

שאלהIgal : מערכים דו מימדיים רקורסיה:

שאלהIgal : מערכים דו מימדיים רקורסיה: אוניברסיטת בן גוריון בנגב מספר נבחן : תאריך המבחן: כ"ג מנ' אב תשע"ז 15.08.17 שמות המורים: ציון סיקסיק א' ב- C תכנות מבחן ב: 202-1-9011 מס' הקורס : הנדסה מיועד לתלמידי : ב' מועד סמ' ב' שנה תשע"ז 3 שעות

קרא עוד

Microsoft PowerPoint - CE_Candidates_2011.ppt [Compatibility Mode]

Microsoft PowerPoint - CE_Candidates_2011.ppt [Compatibility Mode] תשע"בב פתוח ו וירטואלי לקראת שנת הלמוד הלימודים יום ראשון ב- תואר מחשבים הנדסת הלימודים שנת לקראת הוירטואלי הפתוח ליום הבאים ברוכים מחשבים הנדסת עלל מקצוע פרטים מספר זוו תמצאו תשס"בב. במצגת וי שיש שינויים

קרא עוד

1 בגרות עח יולי 18 מועד קיץ ב שאלון x b 2 2 y x 6x שיעור ה- א x לכן, של קדקוד הפרבולה, ו-, מתקבל על ידי הנוסחה a. C(3, 9) ובהתאם, y. (3, 9) 2 C

1 בגרות עח יולי 18 מועד קיץ ב שאלון x b 2 2 y x 6x שיעור ה- א x לכן, של קדקוד הפרבולה, ו-, מתקבל על ידי הנוסחה a. C(3, 9) ובהתאם, y. (3, 9) 2 C 8 מועד קיץ ב שאלון 58 x b y x x שיעור ה- א x לכן של קדקוד הפרבולה ו- מתקבל על ידי הנוסחה a C( 9) ובהתאם y ( 9) C 9 C הם x C ( ) תשובה: שיעורי קדקוד הפרבולה B A y x x ב הישר y 5 חותך את הפרבולה בנקודות

קרא עוד

פייתון

פייתון שיעור 12: מילונים ברק גונן 1 או מילון, :hash table או,dictionary זוגות של מפתחות keys וערכים values מילון מוגדר על ידי סוגריים מסולסלים { } לדוגמה: מילון שמכיל ציונים, המפתח הוא מספר ת.ז ערך מפתח הגדרה

קרא עוד

שעור 6

שעור 6 שעור 6 Open addressing אין רשימות מקושרות. (נניח שהאלמנטים מאוחסנים בטבלה עצמה, לחילופין קיים מצביע בהכנסה המתאימה לאלמנט אם אין שרשור). ב- addressing open הטבלה עלולה להימלא ב- factor α load תמיד. במקום

קרא עוד

הקדמה מעתה תוכלו לצפות בתלוש השכר שלכם באופן ממוחשב, באמצעות מערכת חילן-נט. את סיסמת הכניסה הזמנית למערכת תמצאו בחלקו התחתון של תלוש השכר המודפס, שנשל

הקדמה מעתה תוכלו לצפות בתלוש השכר שלכם באופן ממוחשב, באמצעות מערכת חילן-נט. את סיסמת הכניסה הזמנית למערכת תמצאו בחלקו התחתון של תלוש השכר המודפס, שנשל הקדמה מעתה תוכלו לצפות בתלוש השכר שלכם באופן ממוחשב, באמצעות מערכת חילן-נט. את סיסמת הכניסה הזמנית למערכת תמצאו בחלקו התחתון של תלוש השכר המודפס, שנשלח אליכם בדואר רגיל. דפי הנחיות אלה כוללים הסבר על אופן

קרא עוד

תרגול מס' 1

תרגול מס' 1 תרגול 6 הסתעפויות 1 מבוסס על שקפים מאת יאן ציטרין קפיצות לא מותנות Unconditional Branch br label PC לאחר ה- fetch של פקודת ה- branch PC לאחר הביצוע של פקודת ה- branch pc label br label הקפיצה מתבצעת תמיד,

קרא עוד

Slide 1

Slide 1 1 אובייקטים היום בתרגול: 2.)objects מחלקות )classes( ואובייקטים )מופעים, )fields( שדות המחלקה שיטות הכמסה )methods של מחלקה. ( class מחלקה - עד עכשיו השתמשנו בעיקר בטיפוסים מובנים ופונקציות המבצעות חישובים

קרא עוד

מקביליות

מקביליות PROMELA גרא וייס המחלקה למדעי המחשב אוניברסיטת בן-גוריון עדכון אחרון: 21:40 15/06/2013 2 שפת מ פ ר ט עם ס מ נ ט יק ה מוגדרת באופן מתמטי "שפת תכנות" למודלים המטרה: לאפשר גם לכאלה שאינם חוקרים בתחום לבנות

קרא עוד

תוכן הגדרת שאלת רב-ברירה ]אמריקאית[...2 הגדרת שאלת נכון\לא נכון...8 שאלות אמריקאיות 1

תוכן הגדרת שאלת רב-ברירה ]אמריקאית[...2 הגדרת שאלת נכון\לא נכון...8 שאלות אמריקאיות 1 תוכן הגדרת שאלת רב-ברירה ]אמריקאית[...2 הגדרת שאלת נכון\לא נכון...8 1 הגדרת שאלת רב-ברירה ]אמריקאית[ הוספת השאלה 1. בבלוק הניהול הנמצא מימין נלחץ על מאגר שאלות.. 2. על מנת להוסיף שאלה חדשה נלחץ על לחצן

קרא עוד

PowerPoint Presentation

PowerPoint Presentation תכנות מתקדם בשפת Java אוניברסיטת תל אביב 1 תוכנה 1 תרגול 3: עבודה עם מחרוזות )Strings( מתודות )Methods( 1 תכנות מתקדם בשפת Java אוניברסיטת תל אביב 2 מחרוזות )STRINGS( 3 מחרוזות String s = Hello ; מחרוזות

קרא עוד

תאריך פרסום: תאריך הגשה: מבנה נתונים תרגיל 5 )תיאורטי( מרצה ומתרגל אחראים: צחי רוזן, דינה סבטליצקי נהלי הגשת עבודה: -את העבודה יש לה

תאריך פרסום: תאריך הגשה: מבנה נתונים תרגיל 5 )תיאורטי( מרצה ומתרגל אחראים: צחי רוזן, דינה סבטליצקי נהלי הגשת עבודה: -את העבודה יש לה תאריך פרסום: 01.01.15 תאריך הגשה: 15.01.15 מבנה נתונים תרגיל 5 )תיאורטי( מרצה ומתרגל אחראים: צחי רוזן, דינה סבטליצקי נהלי הגשת עבודה: -את העבודה יש להגיש בזוגות. -העבודה חייבת להיות מוקלדת. -הקובץ חייב

קרא עוד

מבוא למדעי המחשב

מבוא למדעי המחשב מבוא למדעי המחשב המחלקה Object תוכן עניינים Object הורשה והמחלקה ערך שם טיפוס DynamicIntArray da data size incrementsize DynamicArray תזכורת - Object[] data; int size; int incrementsize; DynamicArray זה

קרא עוד

תכנון אלגוריתמים עבודת בית 4: תכנון אלגוריתמים תאריך הגשה: 02: , בצהריים,תא מספר 66 בקומת כניסה של בניין 003 מתרגל אחראי: אורי 0

תכנון אלגוריתמים עבודת בית 4: תכנון אלגוריתמים תאריך הגשה: 02: , בצהריים,תא מספר 66 בקומת כניסה של בניין 003 מתרגל אחראי: אורי 0 22 עבודת בית 4: תכנון אלגוריתמים תאריך הגשה: 2: 622, בצהריים,תא מספר 66 בקומת כניסה של בניין 3 מתרגל אחראי: אורי הוראות כלליות: כל עוד לא נאמר אחרת, כאשר הנכם מתבקשים לתאר אלגוריתם יש לספק את הבאות: תיאור

קרא עוד

Overview of new Office 365 plans for SMBs

Overview of new Office 365 plans for SMBs מעבר בין חבילות Online מעבר בין חבילות ב- Open Online to Open Current Plan Upgrade Options Current Plan Upgrade Options Business Essentials Business Premium Enterprise E1 Enterprise E3/E4 Enterprise E1

קרא עוד

Microsoft Word - אלגברה מעורב 2.doc

Microsoft Word - אלגברה מעורב 2.doc תרגול אלגברה? ( ), (6 ) 6 9 נתון:. מהו ערכו של. () () () (). למה שווה? a ai. נתון: a + 9 + 6a () () 7 () () אף תשובה אינה נכונה?. ( ) ( + ) ( ) ( + ) ( + ) ( + ) ( + )( ) () () () (). נתון: + 0 z z z iz

קרא עוד

עב 001 ינואר 12 מועד חורף פתרונות עפר

עב 001 ינואר 12 מועד חורף פתרונות עפר ק( נסמן ב- את מהירות המשאית שיצאה מעיר A (קמ"ש, קבועה) בגרות עב ינואר מועד חורף שאלון 35 נסמן ב- y את מהירות המכונית שיצאה מעיר B (קמ"ש, קבועה) B A נסמן ב- s את המרחק מעיר לעיר "מ) s v עד מפגש ראשון משאית

קרא עוד

Cimatron_E

Cimatron_E סימטרון ישראל מרכז ההדרכה CAM כרסום בסיסי ספר הדרכה ותרגילים נכתב ונערך ע י שי עזיז 5 1 הקדמה עם כ 03 שנות ניסיון ויותר מ 420333 התקנות ברחבי העולם 0 "קבוצת סימטרון" הינה חברת התיב"מ הישראלית המובילה בארץ

קרא עוד

2019 שאלות מומלצות לתרגול מס' דיפרנציאביליות של פונקציה סקלרית )המשך(. כלל השרשרת. S = ( x, y, z) z = x + 3y על המשטח מצאו נקודה בה מישור משיק

2019 שאלות מומלצות לתרגול מס' דיפרנציאביליות של פונקציה סקלרית )המשך(. כלל השרשרת. S = ( x, y, z) z = x + 3y על המשטח מצאו נקודה בה מישור משיק דיפרנציאביליות של פונקציה סקלרית )המשך( כלל השרשרת S ( z) z + על המשטח מצאו נקודה בה מישור משיק מקביל : f ( ) + הפונקציה מוגדרת וגזירה ברציפות בכל M( ) שאלה נתון פרבולואיד אליפטי P ( z) + 6 + z + 8 למישור

קרא עוד

Titre du document en police Sodexo

Titre du document  en police Sodexo BENEFITS AND REWARDS SERVICES כיצד משתמשים באתר: mysodexo.co.il יוני 2017 ה- תודה שבחרתם Sodexo Benefits & Rewards לקוחות יקרים, לפניכם מדריך קצר המסביר את אופן השימוש באתר שירות הלקוחות שלנו ישמח לעמוד

קרא עוד

המשימה תרגול מס' 5: קלט-פלט במערכות הפעלה שונות יש סימונים שונים עבור ירידת שורה :)newline( ב- UNIX/Linux )Line Feed( \n ב- Windows )Carriage Return +

המשימה תרגול מס' 5: קלט-פלט במערכות הפעלה שונות יש סימונים שונים עבור ירידת שורה :)newline( ב- UNIX/Linux )Line Feed( \n ב- Windows )Carriage Return + המשימה תרגול מס' 5: קלט-פלט במערכות הפעלה שונות יש סימונים שונים עבור ירידת שורה :)newline( ב- UNIX/Linux )Line Feed( \n ב- Windows )Carriage Return + Line Feed( \r\n - יכולות להתעורר בעיות... זרמים, קוראים

קרא עוד

Microsoft Word - Cosmic CAL Part 2 Hebrew Final

Microsoft Word - Cosmic CAL Part 2 Hebrew Final לסרוג יחד קוסמי חלק 2 זכויות יוצרים: הלן שרימפטון, 2018. כל הזכויות שמורות על ידי: הלן ב www.crystalsandcrochet.com בחסות של Stylecraft yarn קיצורים תך, תכים ח"ע חצי עמוד ל"א לולאה אחורית סיבוב עמ"ק עמוד

קרא עוד

Microsoft Word B

Microsoft Word B מרצה: שולי וינטנר. מתרגל: שלמה יונה מבוא למדעי המחשב מועד ב', סמסטר א' תשס"ג, 17/2/03 משך המבחן: שעתיים וחצי. חומר עזר: מותר כל חומר עזר, מלבד מחשב. הנחיות: 1. ודאו כי בטופס שבידיכם 8 עמודים. יש לכתוב

קרא עוד

הגשה תוך שבוע בשעת התרגול

הגשה תוך שבוע בשעת התרגול מרצה: שולי וינטנר. מתרגל: שלמה יונה מבוא למדעי המחשב מועד ב', סמסטר א' תשס"ג, 17/2/03 משך המבחן: שעתיים וחצי. חומר עזר: מותר כל חומר עזר, מלבד מחשב. הנחיות: ודאו כי בטופס שבידיכם 8 עמודים. יש לכתוב את

קרא עוד

מבנים בדידים וקומבינטוריקה סמסטר אביב תשע"ט מספרי רמזי תרגול 11 הגדרה: (t R = R(s, הוא המספר הטבעי הקטן ביותר כך שבכל צביעה של צלעות הגרף וכחול(, קיים

מבנים בדידים וקומבינטוריקה סמסטר אביב תשעט מספרי רמזי תרגול 11 הגדרה: (t R = R(s, הוא המספר הטבעי הקטן ביותר כך שבכל צביעה של צלעות הגרף וכחול(, קיים מספרי רמזי תרגול 11 הגדרה: (t R = R(s הוא המספר הטבעי הקטן ביותר כך שבכל צביעה של צלעות הגרף וכחול( קיים תת-גרף שלם K s שצבוע בכחול או שקיים תת-גרף שלם K t שצבוע באדום. הגדרה שקולה: עבור גרף עם לפחות (t

קרא עוד

יצוא לחשבשבת תוכן עיניינים הגדרות - חשבונות בנק...2 הגדרות - הגדרות חשבשבת... 3 הגדרות - כרטיסי אשראי... 4 הגדרות - סוגי הכנסה... 5 יצוא לחשבשבת...6 י

יצוא לחשבשבת תוכן עיניינים הגדרות - חשבונות בנק...2 הגדרות - הגדרות חשבשבת... 3 הגדרות - כרטיסי אשראי... 4 הגדרות - סוגי הכנסה... 5 יצוא לחשבשבת...6 י יצוא לחשבשבת תוכן עיניינים הגדרות - חשבונות בנק...2 הגדרות - הגדרות חשבשבת... 3 הגדרות - כרטיסי אשראי... 4 הגדרות - סוגי הכנסה... 5 יצוא לחשבשבת...6 יצוא קופה לחשבשבת חלונות...01 כללי מדריך זה מסביר את

קרא עוד

מבחן 7002 פרטים כלליים מועד הבחינה: בכל זמן מספר השאלון: 1 משך הבחינה: 3 שעות חומר עזר בשימוש: הכל )ספרים ומחברות( המלצות: קרא המלצות לפני הבחינה ובדי

מבחן 7002 פרטים כלליים מועד הבחינה: בכל זמן מספר השאלון: 1 משך הבחינה: 3 שעות חומר עזר בשימוש: הכל )ספרים ומחברות( המלצות: קרא המלצות לפני הבחינה ובדי מבחן 7002 פרטים כלליים מועד הבחינה: בכל זמן מספר השאלון: 1 משך הבחינה: 3 שעות חומר עזר בשימוש: הכל )ספרים ומחברות( המלצות: קרא המלצות לפני הבחינה ובדיקות אחרונות לפני מסירה )עמודים 8-11( מבנה השאלון 5

קרא עוד

מבוא לאנליזה נומרית na191 Assignment 2 solution - Finding Roots of Nonlinear Equations y cos(x) שאלה 1 היכן נחתכים הגרפים של? y x 3 1 ושל ממש פתרונות

מבוא לאנליזה נומרית na191 Assignment 2 solution - Finding Roots of Nonlinear Equations y cos(x) שאלה 1 היכן נחתכים הגרפים של? y x 3 1 ושל ממש פתרונות מבוא לאנליזה נומרית na191 Assignmnt 2 solution - Finding Roots of Nonlinar Equations y cos() שאלה 1 היכן נחתכים הגרפים של? y 3 1 ושל ממש פתרונות בעזרת שיטת החצייה ובעזרת Rgula Falsi )אין צורך לפתור אנליטית(

קרא עוד