Microsoft Word - cadenceman12_ts18sl.doc

מסמכים קשורים
WinZIP תוכנה לדחיסת קבצים ספטמבר 2007

PowerPoint Presentation

Microsoft Word - Ass1Bgu2019b_java docx

ייבוא וייצוא של קבצי אקסל וטקסט

(Microsoft Word - SQL\353\351\345\345\365 \341\361\351\361 \360\372\345\360\351\355 \ doc)

סרגל כלים ל-Outlook או לExplorer- מדריך למשתמש

מיכפל

מרצים יקרים, אתר המכללה מאפשר למרצי המכללה להזין את פרטיהם וקורות חייהם. זאת בדומה לאתרים מקבילים של מוסדות אקדמיים בארץ ובעולם. עמודי המרצים נועדו לא

<4D F736F F D20F4E9E6E9F7E420FAF8E2E5ED20ECF2E1F8E9FA20E4E2E4E420F1E5F4E9FA20496C616E2E646F63>

Office 365 ProPlus בחינם לסטודנטים באוניברסיטת בן גוריון בנגב הוראות סטודנטים באוניברסיטת בן גוריון בנגב יכולים להוריד ולהתקין את חבילת התוכנה Office

Algorithms Tirgul 1

Microsoft Word - sync_LG.doc

ניסוי 4 מעגל גילוי אור והפעלת נורה מטרות הניסוי שילוב נגד רגיש לאור (LDR) ודפ"א (LED) להפעלתה מתחת לרמת אור מסוימת. שילוב פוטו דיודה לגילוי אור והפעלת

Microsoft Word IG Lab - Configure Wireless Router in Windows Vista.docx

מדריך למרצים ומתרגלים 1

הנחיות הורדה ותפעול לספרים דיגיטלים. הוצאת כנרת, זמורה ביתן שמחה להגיש לכם, התלמידים, ספר דיגיטלי. הספרים עצמם הינם בקבצי PDF הניתנים להורדה ללא עלות

Microsoft Word - tips and tricks - wave 5.doc

ת'' מדריך לבעלי תיבה קיימת במופ ומשתמשים ב Outlook 2003 או doc.2007 לפני שניגש להגדיר את תיבת המייל החדשה, נבצע גיבויי של המיילים ופנקס הכתובות מהחשבו

הטכניון מכון טכנולוגי לישראל אלגוריתמים 1 )443432( סמסטר חורף הפקולטה למדעי המחשב תרגול 9 מסלולים קלים ביותר תרגיל APSP - 1 עד כה דנו באלגור

פקולטה: מחלקה: שם הקורס: קוד הקורס: מדעי הטבע מדעי המחשב ומתמטיקה מתמטיקה בדידה תאריך בחינה: _ 07/07/2015 משך הבחינה: 3 שעות סמ' _ב' מועד

2013/14 אוניברסיטת חיפה מבוא למדעי מחשב, מעבדה מטרת המעבדה: לתרגל את המעבר מאלגוריתם לקוד C כמה שיותר. הוראות:.1.2 ניתן לעבוד ביחידים או בזוגות. (יש מ

הוספת קישור לאתר אינטרנט תוכן ממשק בדיקת מטלות...3 איחוד אתרי קורסים...5 סל מחזור... 7 חידושים בפעילויות...8 תצורת קורס: כפתורים... 9 פורומים...10 שיפ

מדריך להתקנת Code Blocks מדריך זה נועד לתאר את תהליך התקנת התוכנה של הקורס "מבוא למחשב שפת C". בקורס נשתמש בתוכנת Code::Blocks עם תוספת )אשף( המתאימה

תאריך פרסום: תאריך הגשה: מבנה נתונים תרגיל 5 )תיאורטי( מרצה ומתרגל אחראים: צחי רוזן, דינה סבטליצקי נהלי הגשת עבודה: -את העבודה יש לה

מצגת של PowerPoint

Microsoft Word - ניספח_8.doc

פקולטה לחינוך מנהל סטודנטים Beit Berl College الكلية االكاديمية بيت بيرل 20/06/2016 י"ד/סיון/תשע"ו ייעוץ וירטואלי הרכבת מערכת )רישום לקורסים( באמצעות

HTML - Hipper Text Makeup Language

פתרון מוצע לבחינת מה"ט ב_שפת c מועד ב אביב תשע"ט, אפריל 2019 מחברת: גב' זהבה לביא, מכללת אורט רחובות שאלה מספר 1 מוגדרת מחרוזת המורכבת מהספרות 0 עד 9.

ForMenahelHeshbonot

BIG DATA תיאור הקורס המונח Big Data הולך וצובר תאוצה בשנים האחרונות, הוא הופך למגמה רווחת בתעשייה. המשמעות הפרקטית של המונח Big Data הינה טכנולוגיות נ

מבחן חוזר במכניקה 55 א יא יח""ללח פתור 3 מהשאלות 1-5 לכל שאלה 33%. חומר עזר מותר מחשבון ונוסחאון של בגרות. v m sec משך הבחינה 105 דקות. שאלה מספר 1 4

הגשה תוך שבוע בשעת התרגול

מסע מדע ו - מסע ברכב שטח ביבשות רחוקות

Microsoft PowerPoint - CE_Candidates_2011.ppt [Compatibility Mode]

שיעור 1

הקדמה מעתה תוכלו לצפות בתלוש השכר שלכם באופן ממוחשב, באמצעות מערכת חילן-נט. את סיסמת הכניסה הזמנית למערכת תמצאו בחלקו התחתון של תלוש השכר המודפס, שנשל

אגף התקשוב, אוניברסיטת בר אילן קורס תוכנת מטלב ויישומיה ד"ר סמדר שץ מימוש ממשק משתמש ע"י GUIDE קורס תוכנת מטלב ויישומיה שיעור מס' 12: שימוש ב- GUIDE -

שאלהIgal : מערכים דו מימדיים רקורסיה:

מדריך להתחלה מהירה Microsoft Project 2013 נראה שונה מגירסאות קודמות, ולכן יצרנו מדריך זה כדי לעזור לך ללמוד להכיר אותו. סרגל הכלים לגישה מהירה התאם אי

Microsoft Word ACDC à'.doc

תוכן העניינים

בקרים מתוכנתים – PLC

תוכן העניינים: פרק צמצומים ומימושים של פונקציות בוליאניות... 2 צמצומים של פונקציות באמצעות מפת קרנו:...2 שאלות:... 2 תשובות סופיות:... 4 צמצום

Cimatron_E

אוניברסיטת חיפה החוג למדעי המחשב מבוא למדעי המחשב מועד א' סמסטר ב', תשע"ג, משך המבחן: שעתיים וחצי חומר עזר: אסור הנחיות: וודאו כי יש בידיכם

PowerPoint Presentation

Microsoft PowerPoint - Lecture1

מעבדה א' בפיזיקה הענות לתדר ותהודה רקע תאורטי תשע"ב נגד, קבל וסליל במעגלים חשמליים בניסוי זה נחקור את התנהגותם של מעגלים חשמליים המכילים נגדים קבלים ו

נוהל בטיחות במדידה עם מגר

Microsoft Word - I900-Tips_and_Tricks

Book.indb

תורת הקומפילציה

Overview of new Office 365 plans for SMBs

Microsoft Word B

Microsoft PowerPoint - meli-iso.ppt

יצוא לחשבשבת תוכן עיניינים הגדרות - חשבונות בנק...2 הגדרות - הגדרות חשבשבת... 3 הגדרות - כרטיסי אשראי... 4 הגדרות - סוגי הכנסה... 5 יצוא לחשבשבת...6 י

T01-1page

Titre du document en police Sodexo

פרק 1: יבוא וארגון תמונות 28 Library I Develop I Map I Book I Slideshow I Print I Web שימוש באוספי תמונות הקדמה ניהול התמונות בתיקיות חשוב לשמירה על ה

מהוא לתכנות ב- JAVA מעבדה 3

שעור 6

תשובות מלאות לבחינת הבגרות במתמטיקה מועד ג' תשע"ד, מיום 0/8/0610 שאלונים: 313, מוצע על ידי בית הספר לבגרות ולפסיכומטרי של אבירם פלדמן שאלה מספר

שיעור מס' 6 – סבולות ואפיצויות

הטכניון מכון טכנולוגי לישראל הפקולטה למדעי המחשב הוראות הגשה: ההגשה בזוגות. הוסיפו שמות, ת.ז., אי-מייל, תא אליו יש להחזיר את התרגיל ואת תשובותיכם לתרג

סדנת תכנות ב C/C++

מבוא לתכנות ב- JAVA תרגול 11

פייתון

Microsoft Word - beayot tnua 3 pitronot.doc

1 מבחן משווה בפיסיקה כיתה ז' משך המבחן 90 דקות מבנה השאלון : שאלון זה כולל 4 שאלות עליך לענות על כולן.כתוב את הפתרונות המפורטים בדפים נפרדים וצרף אותם

עב 001 ינואר 12 מועד חורף פתרונות עפר

Microsoft Word - tutorial Dynamic Programming _Jun_-05.doc

מבוא לאסמבלי

מדריך לחיפוש במאגר JCR Journal Citation Reports מעודכן לדצמבר 2015 כל הזכויות שמורות לתחום היעץ, אוניברסיטת חיפה, הספריה

תכנות דינמי פרק 6, סעיפים 1-6, ב- Kleinberg/Tardos סכום חלקי מרחק עריכה הרעיון: במקום להרחיב פתרון חלקי יחיד בכל צעד, נרחיב כמה פתרונות אפשריים וניקח

Access Medicine אוקטובר 2018

Parallels Desktop for Mac מדריך למשתמש מבוסס על מדריך של חברת Parallels לאתר החברה:

שאלון להערכה עצמית במתמטיקה לקראת לימודי שנה א מדוע להתכונן לשנה א מסלולי לימוד רבים באוניברסיטה (מדעי המחשב, הנדסה, פיזיקה וכמובן מתמטיקה) דורשים לימ

בגרות עז יולי 17 מועד קיץ ב שאלון ,000 א. ניתוח הנתונים מחירה של ספה הוא שקלים, והיא התייקרה ב-. 25% כאשר המחיר מתייקר ב- המחיר החדש הוא פי,

מצגת של PowerPoint

פתרון וחקירת מערכות של משוואות לינאריות שאלות: 1( מצא אילו מהמערכות הבאות הן מערכות שקולות: 2x+ y= 4 x+ y= 3 x y = 0 2x+ y = 3 x+ 10y= 11 א. 2x 2y= 0

תוצאות סופיות מבחן אלק' פיקוד ובקרה קיץ 2014

ארסמוס+ עדכון

<4D F736F F D20F4FAF8E5EF20EEE5F2E320E020F1EEF1E8F820E120FAF9F2E3>

שאלהIgal : מערכים דו מימדיים רקורסיה:

א.ד.וי.ריידר של עדי ברדה 1. הורדה והתקנה הורד את האפליקציה WD( )AdvRider מהחנות והתקן. למכשירי אנדרואיד בלבד. 2. הגדרות ראשונות לאחר ההתקנה צריך לבצע

אלקטרוניקה ומשבים ה-תשס"ה

אוניברסיטת חיפה החוג למדעי המחשב.5.6 מבוא למדעי המחשב סמסטר א' תשע"ז בחינה סופית מועד א', מרצה: שולי וינטנר מתרגלים: סמאח אידריס, ראמי עילבו

תרגיל 3 בקורס תוכנה 1

Microsoft Word - c_SimA_MoedB2005.doc

People. Partnership. Trust מסלול Free פורטל החינוך מבית U-BTech מסלולים ומחירים חיבור לשירותי Office 365 ללא עלות פורטל התחברות הכולל ממשק למנב"ס ולסי

(Microsoft Word \347\345\341\370\372 \344\343\370\353\344 \353\354\354\351.doc)

התגוננות בפני כוחות האופל

מבוא למדעי המחשב - חובלים

אוניברסיטת בן גוריון בנגב תאריך המבחן: שם המרצה: מר אלכסנדר שקולניק, בשפת JAVA מבחן ב: מבוא לתכנות מס' הקורס : מיועד לתלמידי : הנד

Microsoft Word - solutions.doc

פרויקט שורשים דמות

מבנים בדידים וקומבינטוריקה סמסטר אביב תשע"ט מספרי רמזי תרגול 11 הגדרה: (t R = R(s, הוא המספר הטבעי הקטן ביותר כך שבכל צביעה של צלעות הגרף וכחול(, קיים

תמליל:

הטכניון מ.ט.ל. הפקולטה להנדסת חשמל המעבדה ל VLSI חוברת הדרכה על כלי CADENCE הקדמה גואל סמואל, שרון ברלב שפי עבודה ממחשבי LinuxPC זאת הדרך המומלצת לעבודה. פשוט יש לבצע loginלתחילת העבודה. התחברות ראשונית ממחשבי WindowsPC יש להתחבר באמצעות.www.technion.ac.il/GG GoGlobal חוברת זאת מכילה הסבר קצר על איך להתחיל לעבוד עם כלי.Cadence ההסברים מיועדים למשתמשים המעוניינים לעשות,Custom VLSI Design כלומר תכנון ידני. החוברת מכילה את הפרקים הבאים: מבוא 1. ציור סכמות 2. סימולציות אנלוגיות 3. ציור מסכות (layout) 4. הרצת DRC ו LVS.5 הרצת סימולציה על ה Layout 6. עזרה: חשוב הפעל את הפקודה: cdsnhelp : על הקובץ "~/.cshrc" להכיל את השורות הבאות לפי אותו סדר : setenv DRCTEMPDIR /tmp/drcuser login כאשר drcuser מחדש. הוא שם החשבון של המשתמש.תקן את הקובץ במידת הצורך ובצע עבור טכנולוגיות TOWER :0.18u אם זאת הפעם הראשונה בנה ספריה חדשה בשם cadence למשל: mkdir cadence עבור לספריה חדשה : cd cadence הקפד לעשות תמיד לפני הפעלת הכלים. העתק את קבצי האתחול : cp /tools/kits/tower/ts18sl_full_kit/iit/v12/.cdsplotinit. cp /tools/kits/tower/ts18sl_full_kit/iit/v12/kit.csh. cp /tools/kits/tower/ts18sl_full_kit/iit/v12/newproject.csh. cp /tools/kits/tower/ts18sl_full_kit/iit/v12/runproject.csh.

ראשית יש לאתחל את הסביבה: MyProject TechnologyFlavour NewProject.csh כאשר MyProject הוא שם הפרויקט ו TechnologyFlavour מציין את הטכנולוגיה הספציפית למשל.ts18sl_6M1L לאחר מכן מפעילים את הכלי עם : RunProject.csh MyProject התהליך הנ"ל יצר ספרית העבודה בשם הפרויקט בספריה הזאת..MyProject_username יש לממש את כל מרכיבי חשוב!!! : במהלך ובסיום העבודה, יש לסגור את כל החלונות רק באמצעות פקודת ה exit או פקודת ה close window של האפליקציה. אין לסגור את החלון עם ה exit של החלון עצמו! שרטוט סכימת המעגל על מנת לבנות סכמה חדשה עליך ללחוץ על. File>New>Cellview cadence : library : cell name שם של התא החדש. schematic :view name composer schematic : tool יפתח חלון שבאמצעותו תוכל לצייר את המעגל שלך. יש ללחוץ על OK לאישור. בחלק זה נלמד לשרטט סכימה חשמלית של מעגל נתון בעזרת כלי.schematic עתה יופיע חלון חדש המיועד לשרטוט סכמות חשמליות: בשלב הבא 'נצייר' את המעגל המכיל מספר אלמנטים. בכדי להוסיף רכיבים חדשים למעגל, יש להשתמש בפקודה add component (או icon מתאים). בחלון שמתקבל לחץ על.browser בחלון שנפתח בחר בספרית NCSU_Analog_Parts (עבור MOSIS ראה בהמשך עבור Tower 0.18). בתוך ספריה זו ניתן למצוא את כל הרכיבים האנלוגיים וכן מקורות מתח למיניהם.

pmos4 nmos4 vdc gnd cap vsin הרכיבים הרלוונטיים למעגל הם: טרנזיסטור pmos בעל 4 הדקי חיבור טרנזיסטור nmos בעל 4 הדקי חיבור מקור DC ל Vdd ו Vss חיבור לאדמה קבל מקור מתח חילופין לאחר הבחירה יש לעבור לחלון ה schematics ולגרור את החלק למקום הרצוי, ובאורינטציה הרצויה rotate),(sideways, upside down, לחץ על 3F על מנת לקבל אפשרויות אלה. בעזרת הכפתור השמאלי ניתן למקם את הרכיב. בכדי לעבור לפקודה הבאה יש ללחוץ על. Esc עבור : Tower 0.18u יש לבחור את ההתקנים השונים מהספרייה :.ts018_prim את מקורות המתח והזרם יש לקחת ה.analogLib בכדי לחבר בין הרכיבים יש להשתמש בפקודה add wire (או icon מתאים), לחיצה ראשונה על הכפתור השמאלי ממקמים את הנקודה הראשונה של הקו, יש 'לגרור' את הקו ללא לחיצה על כפתורי העכבר עד למקום הרצוי לסיום הקו. לחיצה כפולה על הכפתור השמאלי, תסיים את הקו. לאחר שרטוט המעגל כולו יש לקבוע/לשנות את ערכי הפרמטרים של כל הרכיבים המשורטטים (ערכי המתחים, גדלי הטרנזיסטורים, וערך הקבל), בחר ב, edit properties object סמן את האלמנט הרצוי ולחץ על הכפתור השמאלי. דוגמא לחלון properties של טרנזיסטור :pmos

לאחר עדכון כל ערכי הרכיבים יש לבצע שמירה של המעגל ע"י.design save הערה חשובה: את הטרנזיסטורים יש למקם כך שהכיוון ה source שלהם יהיה נכון! (הסימולטור אינו רואה את הטרנזיסטור כסימטרי). על הסכמה שלך להכיל רק את האלמנטים שבמעגל, כלומר טרנזיסטורים, נגדים, קבלים וחיבורים ל vdd ול.gnd יש להוסיף pin עבור כל כניסה ויציאה של המעגל.

הוספת pin בחר ב.Add>Pin בחלון שנפתח רשום שם ה,pin בחר את כיוונו וסובב אותו במידת הצורך. הבא את הסמן למקום שבו אתה רוצה למקם את ה pin ולחץ על כפתור העכבר. מקורות שדרושים בביצוע סימולציות נוסיף בהמשך. כעת נבנה symbol למעגל. בנית Symbol כדי שאפשר יהיה להשתמש במעגל כתא בסכמה ברמת היררכיה גבוהה יותר צריך לבנות למעגל.Symbol ניתן לעשות זאת בעזרת.Design>Create Cellview>From Cellview בחלון שנפתח, בקש את הכניסות ואת היציאות על הצלעות הרצויות ולחץ על.OK רצוי מאד להפריד בין האלמנטים של המעגל לאלמנטים שנחוצים להרצת הסימולציות. לכן, על מנת לסמלץ את המעגל שציירת, בונים סכמה חדשה שמכילה את ה symbol של המעגל שבנית ואת כל המקורות הנחוצות לסימולציה. חייב להופיע גם מקור בשם vdc שאליו מחוברים ה vdd : symbols ו.gnd בעזרת מקור זה קובעים את מחת ההספקה של המעגל. דוגמא של מעגל (ללא מקורות) : דוגמא למעגל מיועד לסימולציה:

דוגמא לפרמטרי מקור מתח :AC

סימולציה.spectre,SpectreS, cdsspice תוכניות לסימולציות אנלוגיות: מספר מספקת Cadence SpectreS ו spectre הן תוכניות ומהירות יותר, לכן אנו נשתמש באחת מהן. ניתן לבצע 4 סוגי סימולציה הבאים: סימולציה בזמן.Transient.1 סימולציה בתדר.AC.2 סימולצית רעש (מבוצעת כמובן גם היא בתחום התדר). 3. סימולצית DC (שינוי מקור מתח כניסת ה DC למעגל). 4. אופן עבודת הסימולטור: גם אם לא נבחר באף אחת מארבע האפשרויות הנ"ל של סימולציה, ונבצע הרצה של הסימולטור, יבוצעו מיד שתי הפעולות הבאות: המתאר את פרמטרי הרכיבים במעגל והחיבוריות של המעגל (קובץ spice א. יצירת netlist ביניהם). ב. ביצוע אנליזת 'DC' לקביעת נקודות העבודה במעגל. Tools analog environment כדי לפתוח את הסימולטור יש לבצע את המהלך הבא: יפתח החלון הבא: הערה : עבור התהליך של Tower יש לפתוח את החלון : Simulation Options Analog ולקבוע scale ל 61e. כדי להפנות את הסימולטור למודלים הרצויים של הטרנזיסטורים יש לבצע: Setup modelpath

בדרך כלל אין צורך לשנות מסלול זה, אבל אם ברצונך לעבוד עם מודלים שונים, רשום בשדה ה New Directory בחלון שנפתח יש לרשום ~/cadence וללחוץ על O.K לאישור בהנחה שהמודלים נמצאים ב.~/cadence לביצוע אנליזת נקודות עבודה DC יש לבצע בחלון הסימולטור: Simulate Run כדי להציג את תוצאות הסימולציה על גבי הסכימה יש לבצע: Results annotate DC operating points (כדי לחזור להצגת ערכי הטרנזיסטורים defaults (Results annotate Restore Analysis choose לביצוע אנליזת :AC יש לבצע בתפריט הסימולטור: יש לבחור ב AC ולמלא את תחום התדרים הרצוי לצורך הסימולציה: לאחר מילוי הפרטים יש ללחוץ O.K לאישור, ובחלון הסימולטור יש לבצע : Simulation Run (באופן דומה ניתן לבצע אנליזת Transient כאשר הפעם יש למלא את הערך התחתון והעליון של סקלת הזמן וכן את אינטרוול הזמן לדגימת התוצאה.)

ביצוע אנליזת רעש: הערה: לא ניתן לבצע אנליזת רעש ללא אנליזת,AC הרי גם אנליזת רעש מבוצעות בתדר, ויש רק צורך ל'הצביע' על הנקודה בה אנו רוצים למדוד את הרעש ומה מקור היחוס של אותו רעש. Analysis choose יש לבצע בתפריט הסימולטור: יש לסמן את צומת מקור המתח ע"י העכבר וללחוץ על select source (יש לוודא כי מספר הצומת המופיע הוא אכן נכון), לאחר מכן יש לסמן את צומת היציאה וללחוץ על.select node לאחר מילוי הפרטים יש ללחוץ O.K לאישור.

יש לבצע בנוסף Simulation options בחלון שיפתח יש לחפש את MFNOIS ולכוון אותו ל 1 (במקום 0) ולבצע.apply פעולה זו בחרה במודל הנכון לחישוב הרעש עבור התהליך בו אנו עובדים (2µ.(CMOS בחלון הסימולטור יש לבצע : Simulation Run Tools calculator הצגת תוצאות: בחלון הסימולטור יש לבצע יפתח החלון הבא: להצגת תוצאות אנליזת :AC בחר ב vf עבור מתח או if עבור זרם, לאחר מכן את הצומת הרצוי (יציאה) ובחר mag או phase בהתאם לצורך, לבסוף לחץ על plot (הכפתור עם הגרף האדום). כעת יפתח חלון waveform ובו הגרף המבוקש. (כדאי מאד להציג את הצירים בסקלה לוגריתמית בחלון ה waveform יש לבצע:.(y וכנ"ל עבור ציר, axis x axis log plot להצגת תוצאות אנליזת :Transient בחר ב vt עבור מתח או it עבור זרם, לאחר מכן את הצומת הרצוי ולבסוף לחץ על עם הגרף האדום). להצגת תוצאות אנליזת רעש: בצע את הפעולות הבאות: browser psf run1 noise total vnin החלון יראה באופן הבא: (הכפתור

משמעות הגרף שתקבלו היא: מהו הרעש במוצא המגבר כאשר הוא מיוחס לכניסה. (כלומר הרעש ביציאה מחולק בפונקצית התמסורת של המגבר). שרטוט LAYOUT (עבור התהליכים של ( MOSIS סעיף זה מתאר בקצרה ציור.LAYOUT יש לבצע את הפקודות הבאות בתפריט הראשי: על מנת לבנות layout חדש עליך ללחוץ על. File>New>Cellview cadence : library : cell name שם של התא החדש. layout :view name virtuoso : tool יפתח חלון שבאמצעותו תוכל לצייר את המעגל שלך. יש ללחוץ על OK לאישור. עתה יופיע חלון לשרטוט,Layout וכן חלון נוסף המהווה את התפריט לשכבות השונות,(LSW) ראה דוגמא בהמשך. חשוב: ראשית עליך לוודא שהסריג איתי אתה עובד מתאים לטכנולוגיה. הרזולוציה של הסריג צריך להיות חצי.lambda לדוגמא, עבור תהליך של lambda=0.8u 1.6u, והסריג יהיה 0.4u. ניתן לקבוע הרזולוציה של הסריג בעזרת הפקודה : Design>Options>Display הוספת פוליגון : בוחרים את השכבה הרצויה בחלון.LSW בוחרים בפקודה : Createpolygon or Createrectangle ומציירים את הצורה. תחת תפריט Edit קיימות כל הפקודות הרגילות שדרושות לביצוע עריכה כגון: move, delete, stretch, undo

בדרך כלל יש לבחור צורה לפני שמבצעים עליה פעולה. בחירת הצורה מתבצעת עם הכפתור השמאלי של העכבר. מרחקים ניתן למדוד בעזרת סרגל המופיע ב icon התחתון ביותר, יש לסמן את נקודת התחלת המדידה ע"י לחיצה בודדת על הכפתור השמאלי בעכבר, 'לגרור' ללא לחיצה את הסרגל עד לנקודה הרצויה ולסיים את המדידה ע"י לחיצה בודדת נוספת על הכפתור השמאלי בעכבר. בסיום פעולת המדידה יש ללחוץ על Esc כדי להמשיך בפעולות אחרות. כדי לבטל את הצגת הסרגלים (אם הם מפריעים) יש לבצע: misc clear rulers בסיום העריכה עליך לבצע.save דוגמא למסך המתקבל בעת העבודה ב :Layout Among the layers we use: Background Pwell WN Nwell CA = diffusion area XN = defines the active area as n+ XN = defines the active area as p+ CG = polysilicon (transistor gate) M1 = Metal1 CS = contact between metal1 and poly or metal1 and active V2 = contact between metal1 and metal2 And many more בדיקת (Design Rule Check) DRC בעזרת כלי ASSURA עבור Tower18 צור ספריה בשם /tmp/drcuser כאשר user הוא שם החשבון שלך. פתח את ה layout שיצרת.

3V 6LM CHIP לחץ על.Assura>Run DRC בחלון שנפתח, בחר ב Technology המתאים, למשל ts18sl_6m1l בשדה Switch Names רשום : לחץ על.OK לחץ על OK גם בחלון Progress שנפתח. בסיום הריצה הכלי ישאל אם ברצונך לראות את הטעויות, לחץ על.YES ניתן לראות את הטעויות השונות ע"י לחיצת על החצים בחלון שנפתח. (Layout Vs. Schematic) בדיקת LVS בדיקה זו משווה בין ה Layout לסכמה בכל המישורים: התאמת בין שמות הצמתים שקבעתם בשרטוט ה Layout מול השרטוט הסכמתי. התאמה בחיבוריות שבין האלמנטים. התאמה בערכי הרכיבים (גדלי הטרנזיסטורים, ערכי הקבלים וכו'). התאמה במספר האלמנטים וסוגיהם. פתח את ה layout שיצרת. לחץ על Assura>Run LVS ודא ששדות ה Schematic Design source וה Layout Design Source נכונים. ב Technology המתאים, למשל ts18sl_6m1l בחלון שנפתח, בחר בשדה Switch Names רשום : 6LM לחץ על.OK לחץ על OK גם בחלון Progress שנפתח. לחץ על.OK בין שתי ריצות לחץ על.Assura>Close Run בסיום הריצה, הכלי יציג את השגיאות והאזהרות שהתקבלו, לחיצה על OK תפעיל את ה LVS.Debug Environment אם בוחרים שם בשדה Cell List ניתן ללחוץ על האלמנטים השונים ב summary ולאחר מכן על open tool כלי שמאפשר הצגת הטעויות על הסכמה ועל ה.layout יצירת RCX Extracted View ה View Extracted נחוץ על מנת לאפשר הרצת סימולציה על ה Layout. לפעמים הוא גם עוזר במציאת שגיאות ב LVS. על מנת להריץ,RCX חובה קודם להריץ.LVS לאחר ביצוע ה LVS בחר ב QRC Assura Run ולחץ על OK בחלון שנפתח. בחלון הבא שנפתח בלשונית ה Setup, רשום בשדה ה Technology בחר בטכנולוגיה הנכונה. לדוגמא.ts18sl_6M1L לחץ על לשונית ה Extraction. עבור Extraction Type בחר בסוג הרצוי, לדוגמא C. Only בשדה ref node רשום את צומת היחוס של המעגל,gnd!) vss למשל) לחץ על.OK ניתן לצפות ב log על ידי לחיצה על.watch log file בתום הריצה יווצר תא מסוג.av_extracted הרצת סימולציה על ה layout פתח את ה schematic המכיל את המהפך ומקורות המתח. פתח או צור את סביבת הסימולציה.Analog Environment נגדיר לסימולטור לעבוד עם תא ה layout במקום תא ה schematic של ה inverter הקש על.Setup Environment בשורה switch view list הוסף את המילה av_extracted לפני המילה,schematic לחץ OK והרץ את הסימולציה. הסימולציה תרוץ על התא מסוג av_extracted שיצרת.